diff test-data/small-numpressL.mzML @ 10:9d5de24e6ef7 draft

planemo upload for repository https://github.com/galaxyproteomics/tools-galaxyp/tree/master/tools/msconvert commit d595e3cfe190a61d81005f9be7c2652aa5f91292
author galaxyp
date Sat, 23 Feb 2019 06:25:48 -0500
parents dd4ba10d0c45
children 6153e8ada1ee
line wrap: on
line diff
--- a/test-data/small-numpressL.mzML	Wed Dec 07 16:43:07 2016 -0500
+++ b/test-data/small-numpressL.mzML	Sat Feb 23 06:25:48 2019 -0500
@@ -2,8 +2,8 @@
 <indexedmzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.2_idx.xsd">
   <mzML xmlns="http://psi.hupo.org/ms/mzml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://psi.hupo.org/ms/mzml http://psidev.info/files/ms/mzML/xsd/mzML1.1.0.xsd" id="small" version="1.1.0">
     <cvList count="2">
-      <cv id="MS" fullName="Proteomics Standards Initiative Mass Spectrometry Ontology" version="3.79.0" URI="http://psidev.cvs.sourceforge.net/*checkout*/psidev/psi/psi-ms/mzML/controlledVocabulary/psi-ms.obo"/>
-      <cv id="UO" fullName="Unit Ontology" version="12:10:2011" URI="http://obo.cvs.sourceforge.net/*checkout*/obo/obo/ontology/phenotype/unit.obo"/>
+      <cv id="MS" fullName="Proteomics Standards Initiative Mass Spectrometry Ontology" version="4.1.12" URI="https://raw.githubusercontent.com/HUPO-PSI/psi-ms-CV/master/psi-ms.obo"/>
+      <cv id="UO" fullName="Unit Ontology" version="09:04:2014" URI="https://raw.githubusercontent.com/bio-ontology-research-group/unit-ontology/master/unit.obo"/>
     </cvList>
     <fileDescription>
       <fileContent>
@@ -11,7 +11,7 @@
         <cvParam cvRef="MS" accession="MS:1000580" name="MSn spectrum" value=""/>
       </fileContent>
       <sourceFileList count="3">
-        <sourceFile id="RAW1" name="small.RAW" location="file:///C:\pwiz-src\trunk\pwiz\example_data">
+        <sourceFile id="RAW1" name="small.RAW" location="file:///">
           <cvParam cvRef="MS" accession="MS:1000768" name="Thermo nativeID format" value=""/>
           <cvParam cvRef="MS" accession="MS:1000563" name="Thermo RAW format" value=""/>
           <cvParam cvRef="MS" accession="MS:1000569" name="SHA-1" value="b43e9286b40e8b5dbc0dfa2e428495769ca96a96"/>
@@ -20,7 +20,7 @@
           <cvParam cvRef="MS" accession="MS:1000569" name="SHA-1" value="4efa13a2795731b5f2a1ff1c463daf0d24b9a9d9"/>
         </sourceFile>
         <sourceFile id="small-peakpicking-cwt-allMS.mzML" name="small-peakpicking-cwt-allMS.mzML" location="file:///">
-          <cvParam cvRef="MS" accession="MS:1000569" name="SHA-1" value="8af1e376b6ed33679f7fcf965a5e7fd6b1bf77b7"/>
+          <cvParam cvRef="MS" accession="MS:1000569" name="SHA-1" value="d795eccea21733d1e5cc192bcf2e1c389ed59c20"/>
         </sourceFile>
       </sourceFileList>
     </fileDescription>
@@ -30,14 +30,17 @@
         <cvParam cvRef="MS" accession="MS:1000529" name="instrument serial number" value="SN06061F"/>
       </referenceableParamGroup>
     </referenceableParamGroupList>
-    <softwareList count="3">
+    <softwareList count="4">
       <software id="Xcalibur" version="1.1 Beta 7">
         <cvParam cvRef="MS" accession="MS:1000532" name="Xcalibur" value=""/>
       </software>
       <software id="pwiz" version="3.0.8981">
         <cvParam cvRef="MS" accession="MS:1000615" name="ProteoWizard software" value=""/>
       </software>
-      <software id="pwiz_3.0.8990" version="3.0.8990">
+      <software id="pwiz_3.0.19038" version="3.0.19038">
+        <cvParam cvRef="MS" accession="MS:1000615" name="ProteoWizard software" value=""/>
+      </software>
+      <software id="pwiz_3.0.19044" version="3.0.19044">
         <cvParam cvRef="MS" accession="MS:1000615" name="ProteoWizard software" value=""/>
       </software>
     </softwareList>
@@ -82,10 +85,10 @@
         </processingMethod>
       </dataProcessing>
       <dataProcessing id="pwiz_Reader_conversion">
-        <processingMethod order="0" softwareRef="pwiz_3.0.8990">
+        <processingMethod order="0" softwareRef="pwiz_3.0.19038">
           <cvParam cvRef="MS" accession="MS:1000544" name="Conversion to mzML" value=""/>
         </processingMethod>
-        <processingMethod order="1" softwareRef="pwiz_3.0.8990">
+        <processingMethod order="1" softwareRef="pwiz_3.0.19038">
           <cvParam cvRef="MS" accession="MS:1000035" name="peak picking" value=""/>
         </processingMethod>
       </dataProcessing>
@@ -1272,7 +1275,7 @@
             </binaryDataArray>
           </binaryDataArrayList>
         </spectrum>
-        <spectrum index="22" id="controllerType=0 controllerNumber=1 scan=23" defaultArrayLength="701" dataProcessingRef="pwiz_Reader_conversion">
+        <spectrum index="22" id="controllerType=0 controllerNumber=1 scan=23" defaultArrayLength="700" dataProcessingRef="pwiz_Reader_conversion">
           <cvParam cvRef="MS" accession="MS:1000579" name="MS1 spectrum" value=""/>
           <cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1"/>
           <cvParam cvRef="MS" accession="MS:1000130" name="positive scan" value=""/>
@@ -1298,17 +1301,17 @@
             </scan>
           </scanList>
           <binaryDataArrayList count="2">
-            <binaryDataArray encodedLength="3380">
+            <binaryDataArray encodedLength="3376">
               <cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" value=""/>
               <cvParam cvRef="MS" accession="MS:1002312" name="MS-Numpress linear prediction compression" value=""/>
               <cvParam cvRef="MS" accession="MS:1000514" name="m/z array" value="" unitCvRef="MS" unitAccession="MS:1000040" unitName="m/z"/>
-              <binary>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</binary>
+              <binary>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</binary>
             </binaryDataArray>
-            <binaryDataArray encodedLength="3740">
+            <binaryDataArray encodedLength="3736">
               <cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" value=""/>
               <cvParam cvRef="MS" accession="MS:1000576" name="no compression" value=""/>
               <cvParam cvRef="MS" accession="MS:1000515" name="intensity array" value="" unitCvRef="MS" unitAccession="MS:1000131" unitName="number of detector counts"/>
-              <binary>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</binary>
+              <binary>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</binary>
             </binaryDataArray>
           </binaryDataArrayList>
         </spectrum>
@@ -1972,7 +1975,7 @@
             </binaryDataArray>
           </binaryDataArrayList>
         </spectrum>
-        <spectrum index="35" id="controllerType=0 controllerNumber=1 scan=36" defaultArrayLength="732" dataProcessingRef="pwiz_Reader_conversion">
+        <spectrum index="35" id="controllerType=0 controllerNumber=1 scan=36" defaultArrayLength="731" dataProcessingRef="pwiz_Reader_conversion">
           <cvParam cvRef="MS" accession="MS:1000579" name="MS1 spectrum" value=""/>
           <cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1"/>
           <cvParam cvRef="MS" accession="MS:1000130" name="positive scan" value=""/>
@@ -1998,17 +2001,17 @@
             </scan>
           </scanList>
           <binaryDataArrayList count="2">
-            <binaryDataArray encodedLength="3496">
+            <binaryDataArray encodedLength="3488">
               <cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" value=""/>
               <cvParam cvRef="MS" accession="MS:1002312" name="MS-Numpress linear prediction compression" value=""/>
               <cvParam cvRef="MS" accession="MS:1000514" name="m/z array" value="" unitCvRef="MS" unitAccession="MS:1000040" unitName="m/z"/>
-              <binary>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</binary>
+              <binary>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</binary>
             </binaryDataArray>
-            <binaryDataArray encodedLength="3904">
+            <binaryDataArray encodedLength="3900">
               <cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" value=""/>
               <cvParam cvRef="MS" accession="MS:1000576" name="no compression" value=""/>
               <cvParam cvRef="MS" accession="MS:1000515" name="intensity array" value="" unitCvRef="MS" unitAccession="MS:1000131" unitName="number of detector counts"/>
-              <binary>AAAAACFNxkPyugZEKIwqRKtSF0TUKyNEnPjZQ/S5jUTGtOBDcruCRHzndkTePPZE9EBfRWqR+URyPQ1FSNv2REp/DkRVuA5E6vS1REW4p0SsZ7lEvwlhRFW6MUQ0JRNFK3NoRHvkLUTu0yJEOmieRKfYDEVULnBE1o0cRficqkVFIlZEoPeSRIWakET1IbRFJ2tcRKDOPERCuxtEyrU7RIf4vERMGVBFQQIDRCQiQURuEWdEbHUVRMjjIUQ7uTlEUIwyRO6bsEQdZZJEWJwtREs6Y0Q8zd5ExfidRWFMtUTO9oRET8w+RQ3TCkVGp95D1SyARJUFgkTBbvxDo7U7RMKNmUTFsyFGRtMoRZwyTUThoCREzBdlRGLU0UQWSlBEnB4aRLfpVURrRoBELng9RHP5FkXoTINEpPpPRF4dlkTL4JREvc89RYMETERzUaNE7u5RRNkQpkQIOD5EJUwNRSQLmUT9psZEBMCTRInMp0RNlyVEIylNRBzUZUQ6W1BEY0BqRIl+WkRfQltFsZqyRGGoO0TocHpEw4BnREURikSOCqFEXNA8RHUuOERNQKREOjqJRKNJU0SLP9BEbui1RHyhR0TVKghEt7bXRA6at0Sf1VdEdsI4RJe6iESxbE1EpCoZRCjhZESLWjBEN6PLRDsDakSq/45E0IOcRBJIM0WGnGZEin9GRO3fJkQHbJFEp0Z7RIrTbESr459E5tMzRJDRgUT+Vk9F0SlaRE0s20SBdWtEABQ0RAggvkT/64hEsRbhREt4D0VVewhFG5GLRKNRE0Xtuo9EinfrRMw4hET/SzlEMjArRMdPV0TcsZhEizF1RIWtjkSepiFEpwvgROC09US1czREtMz7RCO0MUT/R9dE5LxjRGrdwURfzV5EyEHMRMvkbUQl55REKFmbRL921kRXwotEXohERA6UuUQBt3tEOIUxRMoUpERNWgRFi3dARITiokT9s4JEtilARJeaS0WGSrhEYWrJRLlbRUWTmEBF95Q8RrBC9ERG3pJEF5h0RKqmgkQohJZEguatREa1fURStjRF32ogRTri7UQ8kuVEL6XPRABCUUQ8cIpFiIJVRQNNn0SRd6NE8bkZRTWLCUWtUHtEZ2gERg4tAEamT91EkB3DRC3Ad0WRAItEdDYKRVdsr0TFdPNFf6jiRXhPCkVSPuZEwCAFRQI1iUSeRo1F/j4hRXrQskSQUJhE8y/pRCVMlURpPcVE7TOJRQCnQEQY+MFEfJOWRHeCgkShyuhEuC+RRLAqeES9D49Ei409RLxYakTXeIpE7OJFRc+zMEXKbqhE8emwRKOQskRRQAFF5t/KRBSC/kRQd5dE4mBxRJZceEX/129F/lmWRey6R0UQ3nhGPgM1RozPiEWKRYJFRcLoRKoSXkRNpmFEKdAHRYkGp0R2wtxEd9FvRIjsgURmXqVEDa5yRIetTkR/jmBE1CmIRdeQ7kR8xbxEj2slRqu2D0a7faFElPaRRfQO8URzdoNFR+WtRKunz0T4J2dEx+lERHPRnkTPOZhEEIaHRItqDUVKOxVERtgfRXNJqETVkb9Ey98VRWgB0kTZOcNEs18ERdeF7kT0xJdGjfIDRXFLS0Q/XnNE7+GrRGL9yEVLN8NF51o4RXK1lETEqltEu1iCRICXUkTowlpE/VaNRAtqjkROPohEmaRZRJoyW0UMkdRE1x2fRC9gZ0TlKUpECIMHRAv1T0RVgPhEGGmGROROh0QX+MpES2lURbixHkUI2/BEETc2RQd0LUV9auxEFbB+RO/VQET33ndE6EEMRL7GIEQO8h5EZLYVRFutJERJkzVEU+ChRQmWzkf3cJ5HVkKNRd8tAUVEItREcLj9RfSQt0QniJxF5VUtR5wvLEdZLBBGwZa7RASPhUT+n0ZEL/KqRBPRk0QUZa9EB5h0Rb/dPUVjh+tEVWxnRLAkf0S6N+VE1UiQRU89D0XWlb1ENhRdRefIs0RnH3FEP9muREfFA0XjC8xEJmCKRPSgR0TpOYVELF6XRF7gUUQPJYNE6CXVRUDaOkXj3rtExadGRie97UVjOz1F3NbCRLECqUSOVKdEsG9CRbpk/0RMhapEX+uXRM3FkkRPu1tEIHJmRIXJHERD0mlEjVD3Q2ulK0R5mJhE5gIoRNUNC0XGTHdEQsmXRGmeNEQwMwpETaiLRNOZPUTZLMBEDoyDRNtrLkSwK0JE7n1yRGUXPETx9AlEimueRND2GETZDw9ErsuSRBGT9kPfEEZEPaoHRMR3+kNPA+RDcAg0RHcrjER9hNlDRNARRA+V6EMWrGhEAD7kQ58aH0TiVO1DJzHdQ+QZL0Qo3BFEHcj8Q/HsSEQEAzxEqP4uRPagVETJlFBEeHhIRMTJQERS+QJEHH/9Q/gkT0Qy4tRD4wBgRCIbVkQ1xLhDM4z+Q5UGBESMBoJEea/0Q4K40kNRUAdER3QJRGMCDkTriQ5ELPZBRCOPSUSCZQxEXn85RETxsUTlysJEr8RqRAphRkTXKdtD5FMAROXBNERfNxhEPsU3RKsFjkXCuwxFi8FZRK2ST0SAZU5Ef9WARCSOBkQDHhBEVZ4ARC2HYUQNqplE8bGpRNDFCESGbjlEMG4FRET2vkPMOxtEX2pIRGlzDERejVdECIuXRLLA/kQ4r4BEkqiTRBdvD0QyLSdETyrARIefH0SBtM9D3dcFRKaxz0NpgvRDs10VRCb3x0NfSjlEjPOYQ5Mue0MTqZRDg5dXROEi4ENfhR1EnRAaRJTMaUTI2NlDycDQQzDi0kNgvPNDQCrlQyMcQ0Te6f1DGpuwRBHmYETw6vdD3DggRB/yL0S+6ypE/l89RJxxTUTI68NEvwU2RP3a8EMIkiFEbx8vRHQjr0OcJklERZK+Q2EZOUTkyttDNlPFQw4u6kOvYlREHD+jRAfXCkVVqCpEBBgsRPb8HUQzFyREPBqrRMf6FkSrAhxF9qTbRF5WC0R8T7ZDuiHBQ8byMERZwEtEUmEfROdxzkMR3whEifi/Q1OlEkR4sb9DM+bQQ6oPvENkRbxD2P5lRM3lPUTUOdlD9+B6ROb83UMS0JhDyF74Q7yus0M4ybVDRMfxQ9FP8UNj9QFE513KQ9VuokNzgLdDRUbVQzg4l0NkoqhDXs3UQ8Rpl0XjnzpFks34RBR2IUR0yPZElHUSRG3AD0T8f8RDYCPuQ+TE5EPbwgNEGSaxQ0r5okMaOBhEXCeOQ+KeMUTbnOJDUUgeRNZ20EOmK5tD/doHRMMGIETEzvJDZ3IjRNUMIUTVsPZDVXX+Q55qkkMYrRRE3vMFRPmLqEMkBldE0YUeRIt0CkScvw9E8aBYQ7P+H0RJK99DyrEDRCzwSESJ1PZDq7TbQ2uHtEPOrKxDItlFRITzkUO8CWxEqjDDQzVxBUTMLTFE0NEbRN7RA0SP06xDV9LmQ/ZS0EPqdtVDdUO9Q+XEIkTNSgRE3jnVQ6NYqUO/AKpD2UfDQztgF0RRHgJElKowRCJTcERqREpD+r//QwhHnEM34NlDPR6yRa3ZgUVy1b9EYwEpRP72J0Qgp5pD5UaxQ8AlRETzEKVD8eqmQ2vuC0Qr8hJEKzkDRKs4HETkaQNEkjGwQ0ZqgES3Ww9EGvPRQ/gURUQJdllEFdEiREOESER37RdEyi6ARHzOCkSRRMJD2yKpQ80l5UP+OENEzxd0RH3AT0Qc4ChEeM6JQ2L1u0PJtNxDyIj6Q5xV2UM/cMJD/+MeRO1k/kOy5BZE438iRHE7wEPDx7hDs+YVRNDls0O70SREvVTLQ4NFIkSkZjlEkt1SRHQ0o0NfV7RDI5rzQ/mHrUO5F7hDhJ22Q73LBkSz5D9EEigkRKGXJUTWvTxE9HusQ6nUA0QHMDxEzVXXQyTjg0SK1aJDCZ4RRI5qokP2f/xD</binary>
+              <binary>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</binary>
             </binaryDataArray>
           </binaryDataArrayList>
         </spectrum>
@@ -2312,7 +2315,7 @@
             </binaryDataArray>
           </binaryDataArrayList>
         </spectrum>
-        <spectrum index="41" id="controllerType=0 controllerNumber=1 scan=42" defaultArrayLength="1343" dataProcessingRef="pwiz_Reader_conversion">
+        <spectrum index="41" id="controllerType=0 controllerNumber=1 scan=42" defaultArrayLength="1344" dataProcessingRef="pwiz_Reader_conversion">
           <cvParam cvRef="MS" accession="MS:1000579" name="MS1 spectrum" value=""/>
           <cvParam cvRef="MS" accession="MS:1000511" name="ms level" value="1"/>
           <cvParam cvRef="MS" accession="MS:1000130" name="positive scan" value=""/>
@@ -2338,17 +2341,17 @@
             </scan>
           </scanList>
           <binaryDataArrayList count="2">
-            <binaryDataArray encodedLength="6284">
+            <binaryDataArray encodedLength="6288">
               <cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" value=""/>
               <cvParam cvRef="MS" accession="MS:1002312" name="MS-Numpress linear prediction compression" value=""/>
               <cvParam cvRef="MS" accession="MS:1000514" name="m/z array" value="" unitCvRef="MS" unitAccession="MS:1000040" unitName="m/z"/>
-              <binary>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</binary>
+              <binary>QWQJHgAAAADmU9x9mf//f5/RWR4exC6RKEvq2a2hltKreSur2SeqT4zrSkVC+6EaJC/S8ZlAailP252x4HjwWRNN/LrxtN0QwVjHkG7veK6PxoGZY4U58Usr6YOavNp99ee+oD4atTZCHj51IRhOkUGfHsWrGY1dY58zYZ0lA0OBOeScGZe8tdnNgR/hH+VrabvTvsIYa+ac00j7G8RMh5QK3IgcuSlUrxsdOSqKmMs7AXp7eY22zm6v893rlUDSZwShFO2/IrQGxJiu7k6mpeuCuUpDF88QgRiKDlSQrrF6HHr4tJFtrrofrnrknQTAvpAEpmwlPBixFd2tEZvAHRmzVfmxklhXWbucvbmeRHnjghoRkP5geTteM5F3YxeJ3rdTiumVlRmK0zGXQfvOLTwpirWP5yOUVfEHyp9J8YbUuexmOOs80+NunBNE2opt3P4YDGuhqJBHuRDN6+HE3qpZgXKwyY4O6uI//XUjQgSp7cDb4m+KriXxuFKqoG6d1sYuGhzZ4ZKQJs0a+ZhTkXCmfCuCcDL11Xmrl/hsS+kta3fyNaurkouK3i/vPUr9FGdZ+6BhsIPQm7KOi3mnKGJSfH4xzHM7yxULX+aDmI5boMXCNnAUHB95Ip9swN01GQezdkkf+utFma7YmkmAEgVFeqvAM2FDrgYZgw5J7NVXT/1RFD3FGQGx6+L3qvknIPySDwGflFNKzaFZYsJaXSJGMBEpDzsp7E8b6QHZS+ySDTVIYytmhzLO5zyVxK3eI4g4SuPRjS2OSKqFo7UWz0Monv4N186owr77uT9ZZSm9N/k7L3vrrVhxAGOkKSwT1NqVZm4wUHi6k3Iw4507qDIyYqYnjNghZdrgGtHg16oANcEPq3MZlORd4m7ts6mRZGKNu0oWer8TmStNPB+uhjGpY2oRhGWoKaT/QtThAsHou2q00hFoH6q5Mmzg+iCzAVsULLGR+kc59tgzwUQsRhln+pniW29VoQ8WbHoLIH7SqnHp1RfzwSOQvO3cQK4Re1G3KQ4cSNSl4SxpVKp92rUYK74xkjWBzsVUMY6Q8Em0BR+84uIiztL6K9IWG5OW0Z2UJt1F55LoDLUllpFCGU16L1tUaYyN/uq5/E4o9dV6uvEBqlvH23sxU0O/uxMBWpro7jF/87+SjitKciLZ0Z4hCo+5PpcX7LupuIGdPVm2qW9esh9VsiDf4RRz+cKpkf327RGtHXQZg2Ui2lYqe00sHI9JHq7VQa8kf9IJUAgVAtlxmyW1PRJJ3tGZsrweoZqPrETIEJS4eJgTkxmoJQJyyP1In8vmvqOr8ZLbzEMWrvkxqO8mHOJjpAoEuwTnlCeBFEGv0asOzhru5tSvrxCbQZXBe9VwKe10jtJ04aw7Dh+kcFBjzoUca5dN2bKN1ayj5puavGOGI5UEKh6FLj5Ngi3kfTo6lG2l/JrS7aQVp0TasrApFKhDnqKVg4Ev2qUkh5FDiRyJV0M5ExAmElpShKIrqXKzggeoiObbitSqa1C8JNJPWl3HHagL0upc/p4u12M0ZpFEeRIo5gGkVLDCj3qEqCpF5D4MFPwkkZcm5W4071e6kn03rGErN/NbMUYq0LyLQBTLEyzqK6F8J8yDIujSZqft8nJ2u5IvHeIcbrY/LxK7P75LyBqnN0wjrW0jHkrUuGyifB/CwJUBKd0AJGqBQLgaZtK9s9lSLejxOxXogxQZUyttK8yL5AeMsKe+OjlxN3mMu8ujvwa+OStxuZqKNYP0yVZijzE1ooU9pOSBsdG+QwvKdA7MEaApoZF4qo6mzB/q8LAtIWlDQqE7GaCf+GQy7EPewr8p+aKP2UrgTK0hIIAa/1rOPf61JsTUG7gNO889i64dgzyx8gjz4SfKhSI6JrOvZVejraCEbnFB9xLjxQ+vaxAbPG7TatgSQ3aULrRzEnBhMq85AkJ45XOrtjXRX1whGmsDkb84JyYmEcqAusRBxtyQhUlXGxlpski4laPSz2JKzqKlZhLS5k9yqEre0jvrUj/jay8RJ1w8uaWrVKPCwTrcrKwjuywaq05uKNv2gyDwuqkUiiHlz5rOtRbJolyAJchhUjp/5K3ZG6KJIhGlqA/ivdk2pPdmkxOowo1YJqWjreRbtRoOUnKW7l2NvEbKIv8doQq9MxlyRZHqykm4Kh4LatucSCNEznolVBgbJGRSktiPHqO3aZNIS6ziMysfhyK09PSpwjOSJdxWrpWs0uyE/KwXS0pFfygeZqvilRvc/ph2dp6l1d5yq+H4Oubiobh8ozPVSn4d+ya0poHz9IMZUs346vPV7RqhxRGrduWqqjKkGknaoa1Dq7k9W0/q2yeMuzcoPUZ9GPGKZJy0dBygI5KxgeH0GtotupyVpN4dbxKRlGDRLiALF6q9lmQqP5dB1rwmKU6NG9qydOstfz56rcO2ICuoQWYVc0lb90m6PgJuKIGZMvDOQyZmW0GRe8Up0I+H0YY8rRnY9gzRkr5eGtkbYand8RIBWH0squrqdS/XlwBwrinnvop0cG4dlD8xm0g6zqRKSqKgJsWoNl+C6XP0LXPBExkHSv1L/CgWq5pW9zymn87qt0D9MKtRF05hEZVV/X4q889jQ3pqXIScoZXF0gnQMqaU1bJzmycxaR5J3koDZCm7sxEqHGUirGSpoEzY2oIV964o7+JAw7OvqpOx5BeAEuV4i5OLgk4uSKKKj0m4IYl24T8agBk+GOPqk57dIteQOmF02i/FHpoD9CyvyW7q8pB9IdHVI7xn2i4F2x7xQBGsznNaZCH4Izz0KxqlA+9WOxq4urQLrjCOfbKH5STx6RoCfC4iLmA6FIlsMpjPJFnOWm4zbi7U3oo/w1Um6inqYeozs0W8JWdJM3tuy/kpJK20oaOycny335C+H/4rZGvK44mzLdmviohppivyFHqiZW2hR82yIQvTpll42kcW3ig6fvkqVI/iUJzlrLkXoy3SE6Gge//nwqJKgSMK6mpasb6jTQiB9EQbGcLLR+vf9VIoK46e4fr+NPLEKfw/yojDJRnZphGi5/G6PHD9EMG9IZfBcq6ow2SCKJPiGZatkqlmR3mJUGbqPLrDK+0EO7/GIprdFCjM/7lDOa7qluTeHRSAEarT01ILFeGmZrzKHi26so19JLBwisiSeCx7M4pX0WweNhbhntprniXJLOoKgCUkQaSJ6DwX4aqMMRrvdlK7hZmimXbSbO5zKJoJQqF2x6cU5kqDKuskN/qKB0nasPTyLaDcKq10WiOrVFJDR2KtQhxyEAnFqpzhwmZr2q39KUKZc5KsOdraV8vuJlHjYj3IUTlAqSlofpqI4/GvGafKvDcuJoAnMtOckimBfIrgBaup4R+q3e3NKBGs+l43oCf1unqXxPUmD+FSDhJDpW9cYbLVPEkceerKl5rgqBNoyjdGPSCwu2p2Lao80R4QeOVxlVg3zheu7XKTig1+nxfMvqG0f8IHL4QkV1yR7W7iKZMCBNKg3/Kk5pfaCTMLIo9xqlrMhqGB4uH5Gkoaj9Swra6+Qta3cSVZyWrj9r0hEu2aay0Tqe3m4V4sHxm9gr/bgciyWwyhLhDXEa6fXRlKTYXqE8uqOx3+LO6EypkUeadHBtoG7Ks8hAM0kh8o2kyKSwTGEMdDoZfkQl4oUYorNU47peaK+tJuK4rZE5oBMiGinKJWIyOU0WIfd1Mtwh96lqiXrf6ywrP25iTmlsnCUM3rVgsyiuDOGXCY0Ziu+x6syS6TINdqFap4s7zhKSI8Gg9PbiEjrPqAcGIUZkaxn2erTjN/kSBhuZodrmMgGEw62cs8JR0vYmzCMqGHYoK1ATKu++zhapXCGU+qDOJ71mGvoDvRC9/VWZprZskvRpbq2nouINeiMl8WWqvlikqVN36skiTiIVGzJxL+QssRdKoEQ1IZAnEvSVki7vQkoIUDysMxGR10x3WV6WaLFp70AZbeKs4aaWeRkxbn/SOzGpo14MI0IeYh+9WDPD3xbh2DGc1wI+KePvyiNT0TvQuBGn+QEwSSaRgLzutZmFKz9iQmzNI6tsjIP+cOK2NaErjiojWpJyTdhbqw58ZNHTQk06RkBnI/1hcxkvGj96yiWdAHrrmTgtJupqePanJjKsMl8LMajs79LkbUyqVuwSIFt0oyCo0zJ26zj9gi89MagsQdsbtsIVOgOphwzaEvI+MnplIJ0oSt3NeyVY7BM6NHINEuUXWcbRm52t7plqZs6uOevj7tzKKNj+Leg3+hKyUBFVf2Ke5ib9JV4ymjz90CWqX5p5ArUniGQb/6ITP9ZyCe09pkZgsYgotikzm8Lb3S4rZRiL1yLhtt18GW6mluGLXXYZsY864vjmq6yo+AMum1oIf84mNjQrKXlCSYiELse/WrMrzK+xaYObrTVxa8x5K5YUo3xMW6HCwqv2YqOsp9IaeyWvTafad6DMGBpqMRhIPBGTq37NGRH+QZhenM6u6xXS48KXr5EtcgeIUaCLzNKY3JwulhQa+uk0t5k0JyJnqkd1JxhJ+IKSBxG9sQosriKaox/fO1yAwqedUqPxSMLkrnGylwwyef2rCRLlhBOfz3P673pQsM4jufUakIDuNM79EDeOcSJsKdEp33IZ4SXg6QCdR+KaaHSnmVCyQfhUqM9R40xxKq2KbB0tzXGTjhnuGCqQ0Z4kNR6hy1viLA6dNFf2mhTT/hmH9ROcm7UOqvchSiliXKH4PNIFMVE5s3i7L8uvBS/h0OD3Kcp53NKpT2+mbFcBX7jyGQdiiOHCYCgaK3xhqdjZgo4+p6qoMppMXFw+u3ahDL7jNLdrs/qyiou+qQ12EYLR+BkJ9sniNCpOptpZclJyLZIyrL4XuoOCkVPOPqdPL1LbqxETmWtUk6e5WyhOTDLueVqi8eQisQWWq/moqhpNvi64RVFAF8cZ75u04XJmQ0k5Sw26SaYuIuOEEn7t8zikYqQjm7Nyu9tT+9o3gp4iupQbI7QhnFTPWbWRT5OoWkLltwskIj1KczwCIJZTOoaRvqVLBOLRVzOtP92TspDjM8DiFB1yJp9IMsMvIaZmQ3OLVpL80FYoeXtLMPhBSxhIKROScOooLCUQcBfmkXEgqB4dkCGUyjjeIQ4qqlwcpbHX0ycMcxKdBCkVa0Mym5l5bRliQzWQ0xC6GLZJ4p+gsy2sdI/So7BBE1H7SJMP8BhI0jrxQy2qtYiy4RVmqFCk2ptanRI5MvEkaDOZtegy4uayIqSAiJqjnikf0GGRkFw87qOtVLJ7C5OkpuPC1nOsq4aBC8ssq9km0s5ARrHR6iZxcip67jkpMlmaPDa8E4vjtJEUMzsQGNYik5ymnR4veDOUZX3MI49+MipuUa8IyJO1h1r7QS4nHJpxUs6AWTsTCaKi+x2iZYUTcfCzVV3aTDHNHedl8ZdyfQ4T0+mim+4kbblNAji2/SSVz2pp7Vk5Z2iroqnr3IajNf/S7B2Er7hmoib9hBZtHxOcNGQdrMLTsmtMUx2CQRWbhcm6vuqxsugtMph7EZVc0RMyyCGVMVfsJrP8GtIYfV/RPUfiJIylKhgvXidkiyrWFdsydbgZ1+nRldUsbqQGm8oAWO6wjCo/wci4IUcndIEauDm+LhlKEZJyHVk6WgKitHcyrZ+M00N/I21/KjQ07j5m8iIVgyKickSsnyejvn6B5NN+GZBtour7k16+eA0ifnBSNP3Cq6KTqjrizS2t5BGH+7ZJ6nk3sqUCgTSpHyLlq+Kp2LWgbqOaJeCkoAlGwRhJNxn4ufjiR+YlFm6JESVl7xmM8IvqXVpcLgiKgol+3pcPjn4Qb3ijkeVnbCpBjqIlx5mZeK+OJRM+QubhkUbrGoCKfDe+RhnaAnKbt+tdEZDuMZd2w/6o+rbiE/9Sp42A0j4hJ6iYBLpimm4/kTMYl/FkllNbq6tnZtHQDQgZj0f64Wb2HjniqSPCxIWdGu/WYarJUuLU4i2Yk/TcPPE9GB0X45XCZQwl1u0Sdigkr/fgwoZFcRDgfyGZrmGeLuKvijzmpyCDcSpK2Y6w4lkri8oSFF2hKUcSeh0MqBOm5DWb9CrdmTb8za79xjLTdTal+sN693WtLrQhEzMnMWH3gEmJAvrKQQjjOXVqo1874oouoybDYRtaQpEpUJM5i3VYwzfva59gkz8IMQjBgFlVxtC63FV+LwtDKktDnRyGwWeZZ2moN+FeqfZj4RDICykM39XbdS2BuVPT+X9PnQKFGdQfuiP1lqhdqSUMCDJ2exIYK0/TktOTyyiAXDGaTJ0qGgs3nJPSzaxLus</binary>
             </binaryDataArray>
-            <binaryDataArray encodedLength="7164">
+            <binaryDataArray encodedLength="7168">
               <cvParam cvRef="MS" accession="MS:1000521" name="32-bit float" value=""/>
               <cvParam cvRef="MS" accession="MS:1000576" name="no compression" value=""/>
               <cvParam cvRef="MS" accession="MS:1000515" name="intensity array" value="" unitCvRef="MS" unitAccession="MS:1000131" unitName="number of detector counts"/>
-              <binary>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</binary>
+              <binary>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</binary>
             </binaryDataArray>
           </binaryDataArrayList>
         </spectrum>
@@ -2716,59 +2719,59 @@
   </mzML>
   <indexList count="2">
     <index name="spectrum">
-      <offset idRef="controllerType=0 controllerNumber=1 scan=1">5578</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=2">16372</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=3">29894</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=4">39977</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=5">55063</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=6">68527</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=7">80188</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=8">92932</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=9">100945</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=10">116031</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=11">126763</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=12">141227</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=13">152747</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=14">165799</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=15">177635</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=16">186581</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=17">200313</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=18">211296</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=19">225530</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=20">237376</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=21">249284</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=22">263242</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=23">272397</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=24">283025</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=25">294010</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=26">308474</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=27">321483</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=28">333505</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=29">347255</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=30">356976</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=31">369714</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=32">384162</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=33">395845</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=34">408025</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=35">419050</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=36">427717</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=37">438638</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=38">449575</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=39">465236</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=40">476928</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=41">490754</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=42">503426</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=43">520361</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=44">534500</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=45">545797</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=46">560921</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=47">573216</offset>
-      <offset idRef="controllerType=0 controllerNumber=1 scan=48">584973</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=1">5698</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=2">16492</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=3">30014</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=4">40097</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=5">55183</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=6">68647</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=7">80308</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=8">93052</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=9">101065</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=10">116151</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=11">126883</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=12">141347</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=13">152867</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=14">165919</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=15">177755</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=16">186701</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=17">200433</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=18">211416</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=19">225650</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=20">237496</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=21">249404</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=22">263362</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=23">272517</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=24">283137</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=25">294122</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=26">308586</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=27">321595</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=28">333617</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=29">347367</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=30">357088</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=31">369826</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=32">384274</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=33">395957</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=34">408137</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=35">419162</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=36">427829</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=37">438738</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=38">449675</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=39">465336</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=40">477028</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=41">490854</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=42">503526</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=43">520469</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=44">534608</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=45">545905</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=46">561029</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=47">573324</offset>
+      <offset idRef="controllerType=0 controllerNumber=1 scan=48">585081</offset>
     </index>
     <index name="chromatogram">
-      <offset idRef="TIC">596555</offset>
+      <offset idRef="TIC">596663</offset>
     </index>
   </indexList>
-  <indexListOffset>598529</indexListOffset>
-  <fileChecksum>410562c8610cfbdb6583a856a989b03c6ac82c9b</fileChecksum>
+  <indexListOffset>598637</indexListOffset>
+  <fileChecksum>8cc70d9ef45d3e37e8a08f355c72404b5fcba22a</fileChecksum>
 </indexedmzML>