diff PDAUG_Merge_Dataframes/test-data/.ipynb_checkpoints/Blast_baseline_bacteriocin_paper-checkpoint.ipynb @ 0:7557b48b2872 draft

"planemo upload for repository https://github.com/jaidevjoshi83/pdaug commit a9bd83f6a1afa6338cb6e4358b63ebff5bed155e"
author jay
date Wed, 28 Oct 2020 02:10:12 +0000
parents
children
line wrap: on
line diff
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/PDAUG_Merge_Dataframes/test-data/.ipynb_checkpoints/Blast_baseline_bacteriocin_paper-checkpoint.ipynb	Wed Oct 28 02:10:12 2020 +0000
@@ -0,0 +1,2618 @@
+{
+ "cells": [
+  {
+   "cell_type": "markdown",
+   "metadata": {},
+   "source": [
+    "## Import libraries"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 1,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stderr",
+     "output_type": "stream",
+     "text": [
+      "/home/nafizh/anaconda3/lib/python3.6/site-packages/Bio/SearchIO/__init__.py:211: BiopythonExperimentalWarning: Bio.SearchIO is an experimental submodule which may undergo significant changes prior to its future official release.\n",
+      "  BiopythonExperimentalWarning)\n",
+      "/home/nafizh/anaconda3/lib/python3.6/site-packages/h5py/__init__.py:34: FutureWarning: Conversion of the second argument of issubdtype from `float` to `np.floating` is deprecated. In future, it will be treated as `np.float64 == np.dtype(float).type`.\n",
+      "  from ._conv import register_converters as _register_converters\n",
+      "Using TensorFlow backend.\n",
+      "/home/nafizh/anaconda3/lib/python3.6/importlib/_bootstrap.py:205: RuntimeWarning: compiletime version 3.5 of module 'tensorflow.python.framework.fast_tensor_util' does not match runtime version 3.6\n",
+      "  return f(*args, **kwds)\n"
+     ]
+    }
+   ],
+   "source": [
+    "import os\n",
+    "from Bio import SearchIO\n",
+    "from Bio.SearchIO import HmmerIO\n",
+    "from Bio import SeqIO\n",
+    "%matplotlib inline\n",
+    "import matplotlib.pyplot as plt\n",
+    "plt.rcParams['figure.figsize'] = (10.0, 7.0)\n",
+    "\n",
+    "import math\n",
+    "import numpy as np\n",
+    "#seed = 1337\n",
+    "seed = 42\n",
+    "np.random.seed(seed)\n",
+    "# THEANO_FLAGS=\"dnn.conv.algo_bwd_filter=deterministic, dnn.conv.algo_bwd_data=deterministic\"\n",
+    "\n",
+    "# %matplotlib inline\n",
+    "# import matplotlib.pyplot as plt\n",
+    "# plt.rcParams['figure.figsize'] = (10.0, 7.0)\n",
+    "\n",
+    "#import numpy as np\n",
+    "#import matplotlib.pyplot as plt\n",
+    "import os\n",
+    "import pandas as pd\n",
+    "from collections import defaultdict\n",
+    "from Bio import SeqIO\n",
+    "from nltk import bigrams\n",
+    "from nltk import trigrams\n",
+    "import gensim, logging\n",
+    "logging.basicConfig(format='%(asctime)s : %(levelname)s : %(message)s', level=logging.INFO)\n",
+    "\n",
+    "# import theano\n",
+    "# theano.config.device = 'gpu'\n",
+    "# theano.config.floatX = 'float32'\n",
+    "from keras.layers import Dropout\n",
+    "from keras.layers import Input, Dense, Lambda, LSTM, RepeatVector, Conv1D, MaxPooling1D, UpSampling1D\n",
+    "from keras.models import Model\n",
+    "from keras import backend as K\n",
+    "from keras import objectives\n",
+    "from keras.datasets import mnist\n",
+    "from keras import regularizers\n",
+    "from keras.layers import GaussianNoise\n",
+    "from keras.layers import Activation\n",
+    "from keras.callbacks import LearningRateScheduler, EarlyStopping\n",
+    "\n",
+    "from keras.layers.normalization import BatchNormalization\n",
+    "from keras.models import Sequential\n",
+    "from keras.layers import Dense\n",
+    "from keras.wrappers.scikit_learn import KerasClassifier\n",
+    "from keras.utils import np_utils\n",
+    "from keras import regularizers\n",
+    "#from keras.regularizers import l2, activity_l2, l1, activity_l1\n",
+    "from keras.optimizers import Adam, SGD\n",
+    "\n",
+    "from keras.wrappers.scikit_learn import KerasClassifier\n",
+    "from sklearn.decomposition import TruncatedSVD\n",
+    "from sklearn.manifold import TSNE\n",
+    "from sklearn.preprocessing import normalize\n",
+    "from sklearn.utils import shuffle\n",
+    "from sklearn.model_selection import train_test_split\n",
+    "from sklearn import metrics\n",
+    "from sklearn.model_selection import KFold\n",
+    "from sklearn.preprocessing import LabelEncoder\n",
+    "from sklearn.pipeline import Pipeline\n",
+    "from sklearn.metrics import precision_score\n",
+    "from sklearn.metrics import recall_score\n",
+    "from sklearn.metrics import f1_score\n",
+    "from sklearn import preprocessing\n",
+    "from sklearn.metrics import roc_curve, auc\n",
+    "from sklearn.linear_model import LogisticRegression\n",
+    "from sklearn.ensemble import RandomForestClassifier\n",
+    "from sklearn.svm import SVC\n",
+    "from sklearn.tree import DecisionTreeClassifier\n",
+    "from sklearn.model_selection import StratifiedKFold\n",
+    "from sklearn.model_selection import GridSearchCV\n",
+    "from sklearn.model_selection import cross_val_score\n",
+    "\n",
+    "\n",
+    "import dask.dataframe as dd\n",
+    "from sklearn.metrics import precision_recall_curve\n",
+    "from sklearn.metrics import average_precision_score\n",
+    "from scipy import interp\n",
+    "from itertools import cycle\n",
+    "from scipy import stats\n",
+    "\n",
+    "import subprocess\n",
+    "from Bio.Blast import NCBIXML\n",
+    "from collections import defaultdict"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {},
+   "source": [
+    "## Blast results"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 3,
+   "metadata": {},
+   "outputs": [],
+   "source": [
+    "decoy_train = np.random.random((692, 3))\n",
+    "y = np.vstack((np.ones((346, 1)), \n",
+    "                    np.zeros((346,1))))"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {
+    "heading_collapsed": true
+   },
+   "source": [
+    "## e-value"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 73,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "Finished Iter 0\n",
+      "Finished Iter 1\n",
+      "Finished Iter 2\n",
+      "Finished Iter 3\n",
+      "Finished Iter 4\n",
+      "Finished Iter 5\n",
+      "Finished Iter 6\n",
+      "Finished Iter 7\n",
+      "Finished Iter 8\n",
+      "Finished Iter 9\n"
+     ]
+    }
+   ],
+   "source": [
+    "random_seed_list = [3,13,23,33,43,53,63,73,83,93]\n",
+    "precision_scores_mean_list = []\n",
+    "recall_scores_mean_list = []\n",
+    "f1_scores_mean_list = []\n",
+    "\n",
+    "for rand_seed_num, rand_seed_i in enumerate(random_seed_list):\n",
+    "    precision_l = []\n",
+    "    recall_l = []\n",
+    "    f1_l = []\n",
+    "    cv_outer = StratifiedKFold(n_splits = 10, shuffle = True, random_state = random_seed_list[rand_seed_num])\n",
+    "    for fold_num, (train_idx, test_idx) in enumerate(cv_outer.split(decoy_train, y)):\n",
+    "        with open('second_bacteriocin_training_set', 'r') as in_handle:\n",
+    "            out_training_handle = open('fold_num_%s_train_set.fa' % str(fold_num), 'w')\n",
+    "            out_test_handle = open('fold_num_%s_test_set.fa' % str(fold_num), 'w')\n",
+    "\n",
+    "            for index, record in enumerate(SeqIO.parse(in_handle, 'fasta')):\n",
+    "                if index in train_idx and index <= 345:\n",
+    "                    out_training_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "                if index in test_idx:\n",
+    "                    out_test_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "            out_training_handle.close()\n",
+    "            out_test_handle.close()\n",
+    "            make_blastdb_command = ['makeblastdb', '-in', 'fold_num_%s_train_set.fa' % str(fold_num),  \n",
+    "                                    '-dbtype', 'prot', '-out', 'fold_num_%s_train_set_db' % str(fold_num)]\n",
+    "            proc = subprocess.call(make_blastdb_command)\n",
+    "            if proc != 0:\n",
+    "                print (\"Error in make blast %s\" % str(fold_num))\n",
+    "\n",
+    "            subprocess.check_call(make_blastdb_command)\n",
+    "\n",
+    "            blast_cmd = ['blastp', '-db', 'fold_num_%s_train_set_db' % str(fold_num), '-query', \n",
+    "                         'fold_num_%s_test_set.fa' % str(fold_num), '-out', 'fold_num_%s_result.xml' % str(fold_num),\n",
+    "                         '-outfmt', '5', '-num_threads', '7']\n",
+    "            proc = subprocess.call(blast_cmd)\n",
+    "            if proc != 0:\n",
+    "                print (\"Error in blast %s\" % str(fold_num))\n",
+    "\n",
+    "            result_handle = open(\"fold_num_%s_result.xml\" % str(fold_num), 'r')\n",
+    "            blast_records = NCBIXML.parse(result_handle)\n",
+    "            scores = []\n",
+    "            # blast_records = number of sequences in query\n",
+    "            for i, blast_record in enumerate(blast_records):\n",
+    "                flag = 0\n",
+    "                # alignments = sequences in database\n",
+    "                for j, alignment in enumerate(blast_record.alignments): \n",
+    "                    for hsp in alignment.hsps:\n",
+    "                        if hsp.expect < 0.001: # less than 10^-3\n",
+    "                            scores.append(1)\n",
+    "                            flag = 1\n",
+    "                            break\n",
+    "                    if flag == 1:\n",
+    "                        break\n",
+    "                if flag == 0:\n",
+    "                    scores.append(0)\n",
+    "\n",
+    "            precision_s = precision_score(y[test_idx], scores)\n",
+    "            recall_s = recall_score(y[test_idx], scores)\n",
+    "            f1_s = f1_score(y[test_idx], scores)\n",
+    "\n",
+    "            precision_l.append(precision_s)\n",
+    "            recall_l.append(recall_s)\n",
+    "            f1_l.append(f1_s)\n",
+    "            \n",
+    "    print(\"Finished Iter %s\" % str(rand_seed_num))\n",
+    "    precision_scores_mean_list.append(np.mean(precision_l))\n",
+    "    recall_scores_mean_list.append(np.mean(recall_l))\n",
+    "    f1_scores_mean_list.append(np.mean(f1_l))\n",
+    "    \n",
+    "    rem_files = ['rm', 'fold_num_*']\n",
+    "    subprocess.call(rem_files)\n",
+    "    \n",
+    "    \n",
+    "                \n",
+    "#     print (train_idx)\n",
+    "#     print (test_idx)\n",
+    "#    break"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 75,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.996592341358 4.82384902929e-05\n",
+      "0.846453781513 0.00138227844128\n",
+      "0.914424892143 0.000839305093982\n",
+      "[0.99677419354838714, 0.99655172413793092, 0.9966666666666667, 0.99642857142857155, 0.99655172413793092, 0.99642857142857155, 0.99687499999999996, 0.99642857142857155, 0.9966666666666667, 0.99655172413793092]\n",
+      "[0.85546218487394954, 0.84663865546218486, 0.84932773109243698, 0.84680672268907564, 0.84428571428571431, 0.84672268907563031, 0.84672268907563009, 0.84672268907563031, 0.8380672268907563, 0.84378151260504208]\n",
+      "[0.91939339053782165, 0.91513151868317644, 0.91652738364313302, 0.91507621953814444, 0.91299387714409386, 0.91469797725545199, 0.91410501997057525, 0.91476358514691114, 0.90928687021253629, 0.91227307930287815]\n"
+     ]
+    }
+   ],
+   "source": [
+    "\"\"\"\n",
+    "Result for 10 random seeds\n",
+    "\"\"\"\n",
+    "print (np.mean(precision_scores_mean_list), stats.sem(precision_scores_mean_list))\n",
+    "print (np.mean(recall_scores_mean_list), stats.sem(recall_scores_mean_list))\n",
+    "print (np.mean(f1_scores_mean_list), stats.sem(f1_scores_mean_list))\n",
+    "print (precision_scores_mean_list)\n",
+    "print (recall_scores_mean_list)\n",
+    "print (f1_scores_mean_list)\n"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 70,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.996551724138\n",
+      "0.844285714286\n",
+      "0.912993877144\n"
+     ]
+    }
+   ],
+   "source": [
+    "\"\"\"\n",
+    "10^-3, second negative set \n",
+    "\"\"\"\n",
+    "print (np.mean(precision_l))\n",
+    "print (np.mean(recall_l))\n",
+    "print (np.mean(f1_l))"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 68,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.996666666667\n",
+      "0.754537815126\n",
+      "0.857488500843\n"
+     ]
+    }
+   ],
+   "source": [
+    "\"\"\"\n",
+    "10^-6, primary negative set \n",
+    "\"\"\"\n",
+    "print (np.mean(precision_l))\n",
+    "print (np.mean(recall_l))\n",
+    "print (np.mean(f1_l))"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 66,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "[1, 0, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, 1, 0, 1, 0, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0]\n"
+     ]
+    }
+   ],
+   "source": [
+    "#(y[test_idx] == scores)\n",
+    "#y[test_idx][y[test_idx] == 1].shape\n",
+    "#y[test_idx]\n",
+    "print(scores)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 54,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "[0.96969696969696972, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 0.96969696969696972, 1.0, 1.0]\n",
+      "[0.91428571428571426, 0.8571428571428571, 0.8571428571428571, 0.77142857142857146, 0.77142857142857146, 0.77142857142857146, 0.91176470588235292, 0.94117647058823528, 0.82352941176470584, 0.82352941176470584]\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (precision_l)\n",
+    "print (recall_l)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 42,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "70\n"
+     ]
+    }
+   ],
+   "source": [
+    "result_handle = open(\"fold_num_0_result.xml\", 'r')\n",
+    "blast_records = NCBIXML.parse(result_handle)\n",
+    "scores = []\n",
+    "# blast_records = number of sequences in query\n",
+    "for i, blast_record in enumerate(blast_records):\n",
+    "    flag = 0\n",
+    "    for j, alignment in enumerate(blast_record.alignments): # alignment = Hit_num in blast result\n",
+    "        for hsp in alignment.hsps:\n",
+    "            if hsp.expect < 0.001: # less than 10^-3\n",
+    "                scores.append(1)\n",
+    "                flag = 1\n",
+    "                break\n",
+    "        if flag == 1:\n",
+    "            break\n",
+    "    if flag == 0:\n",
+    "        scores.append(0)\n",
+    "print (len(scores))"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 34,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "70\n",
+      "[1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0]\n"
+     ]
+    }
+   ],
+   "source": [
+    "result_handle = open(\"fold_num_0_result.xml\", 'r')\n",
+    "blast_records = NCBIXML.parse(result_handle)\n",
+    "temp = [i for i, blast_record in enumerate(blast_records)]\n",
+    "print (len(temp))\n",
+    "print (scores)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 40,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "data": {
+      "text/plain": [
+       "35"
+      ]
+     },
+     "execution_count": 40,
+     "metadata": {},
+     "output_type": "execute_result"
+    }
+   ],
+   "source": [
+    "h = y[test_idx]\n",
+    "len(h[h==1])"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 36,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.969696969697\n",
+      "0.914285714286\n",
+      "0.941176470588\n"
+     ]
+    }
+   ],
+   "source": [
+    "precision_s = precision_score(y[test_idx], scores)\n",
+    "recall_s = recall_score(y[test_idx], scores)\n",
+    "f1_s = f1_score(y[test_idx], scores)\n",
+    "print (precision_s)\n",
+    "print (recall_s)\n",
+    "print (f1_s)"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {},
+   "source": [
+    "## identity-score"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 15,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "Finished Iter 0\n",
+      "Finished Iter 1\n",
+      "Finished Iter 2\n",
+      "Finished Iter 3\n",
+      "Finished Iter 4\n",
+      "Finished Iter 5\n",
+      "Finished Iter 6\n",
+      "Finished Iter 7\n",
+      "Finished Iter 8\n",
+      "Finished Iter 9\n"
+     ]
+    }
+   ],
+   "source": [
+    "random_seed_list = [3,13,23,33,43,53,63,73,83,93]\n",
+    "precision_scores_mean_list = []\n",
+    "recall_scores_mean_list = []\n",
+    "f1_scores_mean_list = []\n",
+    "\n",
+    "for rand_seed_num, rand_seed_i in enumerate(random_seed_list):\n",
+    "    precision_l = []\n",
+    "    recall_l = []\n",
+    "    f1_l = []\n",
+    "    cv_outer = StratifiedKFold(n_splits = 10, shuffle = True, random_state = random_seed_list[rand_seed_num])\n",
+    "    for fold_num, (train_idx, test_idx) in enumerate(cv_outer.split(decoy_train, y)):\n",
+    "        with open('third_bacteriocin_training_set', 'r') as in_handle:\n",
+    "            out_training_handle = open('fold_num_%s_train_set.fa' % str(fold_num), 'w')\n",
+    "            out_test_handle = open('fold_num_%s_test_set.fa' % str(fold_num), 'w')\n",
+    "\n",
+    "            for index, record in enumerate(SeqIO.parse(in_handle, 'fasta')):\n",
+    "                if index in train_idx:\n",
+    "                    out_training_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "                if index in test_idx:\n",
+    "                    out_test_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "            out_training_handle.close()\n",
+    "            out_test_handle.close()\n",
+    "            make_blastdb_command = ['makeblastdb', '-in', 'fold_num_%s_train_set.fa' % str(fold_num),  \n",
+    "                                    '-dbtype', 'prot', '-out', 'fold_num_%s_train_set_db' % str(fold_num)]\n",
+    "            proc = subprocess.call(make_blastdb_command)\n",
+    "            if proc != 0:\n",
+    "                print (\"Error in make blast %s\" % str(fold_num))\n",
+    "\n",
+    "            subprocess.check_call(make_blastdb_command)\n",
+    "\n",
+    "            blast_cmd = ['blastp', '-db', 'fold_num_%s_train_set_db' % str(fold_num), '-query', \n",
+    "                         'fold_num_%s_test_set.fa' % str(fold_num), '-out', 'fold_num_%s_result.xml' % str(fold_num),\n",
+    "                         '-outfmt', '5', '-num_threads', '7']\n",
+    "            proc = subprocess.call(blast_cmd)\n",
+    "            if proc != 0:\n",
+    "                print (\"Error in blast %s\" % str(fold_num))\n",
+    "\n",
+    "            result_handle = open(\"fold_num_%s_result.xml\" % str(fold_num), 'r')\n",
+    "            blast_records = NCBIXML.parse(result_handle)\n",
+    "            \n",
+    "            \n",
+    "            probas_ = []\n",
+    "        \n",
+    "            for i, blast_record in enumerate(blast_records):\n",
+    "                identity = []\n",
+    "                #flag = 0\n",
+    "                # alignments = sequences in database\n",
+    "                for j, alignment in enumerate(blast_record.alignments): \n",
+    "                    for hsp in alignment.hsps:\n",
+    "                        #print (hsp.identities)\n",
+    "                        identity.append(hsp.identities)\n",
+    "                #print (identity)\n",
+    "                if identity == []:\n",
+    "                    probas_.append(0)\n",
+    "                else:\n",
+    "                    probas_.append(max(identity))\n",
+    "                \n",
+    "            probas_ = [num / 100.0 for num in probas_]\n",
+    "            probas_ = np.asarray(probas_)\n",
+    "            #print(probas_)\n",
+    "            scores = np.where(probas_ > 0.35, 1, 0)\n",
+    "            scores = np.asarray(scores)\n",
+    "            #print(scores)\n",
+    "            precision_s = precision_score(y[test_idx], scores)\n",
+    "            recall_s = recall_score(y[test_idx], scores)\n",
+    "            f1_s = f1_score(y[test_idx], scores)\n",
+    "\n",
+    "            precision_l.append(precision_s)\n",
+    "            recall_l.append(recall_s)\n",
+    "            f1_l.append(f1_s)\n",
+    "            \n",
+    "    print(\"Finished Iter %s\" % str(rand_seed_num))\n",
+    "    precision_scores_mean_list.append(np.mean(precision_l))\n",
+    "    recall_scores_mean_list.append(np.mean(recall_l))\n",
+    "    f1_scores_mean_list.append(np.mean(f1_l))\n",
+    "    \n",
+    "    rem_files = ['rm', 'fold_num_*']\n",
+    "    proc = subprocess.call('rm fold_num_*', shell=True)\n",
+    "    if proc != 0:\n",
+    "        print (\"Error in removing files\")\n",
+    "    \n",
+    "    \n",
+    "                \n",
+    "#     print (train_idx)\n",
+    "#     print (test_idx)\n",
+    "#    break"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 11,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.9729977733413595 0.0006479026254035766\n",
+      "0.506983193277311 0.002581394734023158\n",
+      "0.6632197701552881 0.0020727627517767035\n",
+      "[0.9743108837737994, 0.9744338118022329, 0.9744486215538846, 0.9706057422969188, 0.9745066630771302, 0.9720489336085312, 0.9764285714285714, 0.9709868421052631, 0.9711309523809524, 0.971076711386309]\n",
+      "[0.5146218487394958, 0.5058823529411764, 0.5085714285714286, 0.516470588235294, 0.5092436974789916, 0.5116806722689076, 0.5030252100840336, 0.5000840336134453, 0.5115966386554622, 0.4886554621848739]\n",
+      "[0.6704027404408228, 0.6630523378502692, 0.664202141436184, 0.6714355907874185, 0.6615915846338607, 0.6697866568010852, 0.6600283658009577, 0.6587538318958963, 0.6635298413597006, 0.649414610546686]\n"
+     ]
+    }
+   ],
+   "source": [
+    "\"\"\"\n",
+    "Result for 10 random seeds - primary neg\n",
+    "\"\"\"\n",
+    "print (np.mean(precision_scores_mean_list), stats.sem(precision_scores_mean_list))\n",
+    "print (np.mean(recall_scores_mean_list), stats.sem(recall_scores_mean_list))\n",
+    "print (np.mean(f1_scores_mean_list), stats.sem(f1_scores_mean_list))\n",
+    "print (precision_scores_mean_list)\n",
+    "print (recall_scores_mean_list)\n",
+    "print (f1_scores_mean_list)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 14,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.9093325712456283 0.0024399040434849094\n",
+      "0.5043697478991597 0.0025470180216625904\n",
+      "0.6452384866728698 0.00181340493793003\n",
+      "[0.9083835569315445, 0.8977741702741703, 0.9014636929940133, 0.9124640637140636, 0.911338164908632, 0.9074713958810069, 0.9254588744588744, 0.9078427569349632, 0.9052372234935164, 0.9158918128654971]\n",
+      "[0.5116806722689076, 0.5030252100840336, 0.5056302521008403, 0.5135294117647058, 0.5063865546218487, 0.5087394957983193, 0.5030252100840336, 0.49722689075630255, 0.5087394957983193, 0.48571428571428565]\n",
+      "[0.6518265748804322, 0.6432711705608487, 0.6448551642988026, 0.6525940175704306, 0.6456696159159214, 0.6503391411633715, 0.6468224441969823, 0.639281409636073, 0.6438091227072763, 0.6339162057985588]\n"
+     ]
+    }
+   ],
+   "source": [
+    "\"\"\"\n",
+    "Result for 10 random seeds - second neg\n",
+    "\"\"\"\n",
+    "print (np.mean(precision_scores_mean_list), stats.sem(precision_scores_mean_list))\n",
+    "print (np.mean(recall_scores_mean_list), stats.sem(recall_scores_mean_list))\n",
+    "print (np.mean(f1_scores_mean_list), stats.sem(f1_scores_mean_list))\n",
+    "print (precision_scores_mean_list)\n",
+    "print (recall_scores_mean_list)\n",
+    "print (f1_scores_mean_list)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 16,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.7473116238652409 0.004302423268586996\n",
+      "0.5043697478991597 0.0025470180216625904\n",
+      "0.5992339463448919 0.0024389841407542125\n",
+      "[0.7787829175006594, 0.7322616323417239, 0.7450350874623739, 0.7561662263303154, 0.7333349399138871, 0.753010257858084, 0.7473328614396725, 0.7358584214386313, 0.7449470081792597, 0.7463868861878015]\n",
+      "[0.5116806722689076, 0.5030252100840336, 0.5056302521008403, 0.5135294117647058, 0.5063865546218487, 0.5087394957983193, 0.5030252100840336, 0.49722689075630255, 0.5087394957983193, 0.48571428571428565]\n",
+      "[0.6127362564820077, 0.5948400962621542, 0.5980132572040315, 0.6076345897425045, 0.596258424701477, 0.6066817687116681, 0.5969063991486887, 0.5919493939007764, 0.5998542717488438, 0.5874650055467675]\n"
+     ]
+    }
+   ],
+   "source": [
+    "\"\"\"\n",
+    "Result for 10 random seeds - third neg\n",
+    "\"\"\"\n",
+    "print (np.mean(precision_scores_mean_list), stats.sem(precision_scores_mean_list))\n",
+    "print (np.mean(recall_scores_mean_list), stats.sem(recall_scores_mean_list))\n",
+    "print (np.mean(f1_scores_mean_list), stats.sem(f1_scores_mean_list))\n",
+    "print (precision_scores_mean_list)\n",
+    "print (recall_scores_mean_list)\n",
+    "print (f1_scores_mean_list)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 4,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "Finished fold 0\n",
+      "Finished fold 1\n",
+      "Finished fold 2\n",
+      "Finished fold 3\n",
+      "Finished fold 4\n",
+      "Finished fold 5\n",
+      "Finished fold 6\n",
+      "Finished fold 7\n",
+      "Finished fold 8\n",
+      "Finished fold 9\n"
+     ]
+    }
+   ],
+   "source": [
+    "cv_outer = StratifiedKFold(n_splits = 10, shuffle = True, random_state = 43)\n",
+    "\n",
+    "thresholds_c = []\n",
+    "precision_c = []\n",
+    "recall_c = []\n",
+    "for fold_num, (train_idx, test_idx) in enumerate(cv_outer.split(decoy_train, y)):\n",
+    "    with open('second_bacteriocin_training_set', 'r') as in_handle:\n",
+    "        out_training_handle = open('fold_num_%s_train_set.fa' % str(fold_num), 'w')\n",
+    "        out_test_handle = open('fold_num_%s_test_set.fa' % str(fold_num), 'w')\n",
+    "\n",
+    "        for index, record in enumerate(SeqIO.parse(in_handle, 'fasta')):\n",
+    "            if index in train_idx and index <= 345:\n",
+    "                out_training_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "            if index in test_idx:\n",
+    "                out_test_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "        out_training_handle.close()\n",
+    "        out_test_handle.close()\n",
+    "        make_blastdb_command = ['makeblastdb', '-in', 'fold_num_%s_train_set.fa' % str(fold_num),  \n",
+    "                                '-dbtype', 'prot', '-out', 'fold_num_%s_train_set_db' % str(fold_num)]\n",
+    "        proc = subprocess.call(make_blastdb_command)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in make blast %s\" % str(fold_num))\n",
+    "\n",
+    "        subprocess.check_call(make_blastdb_command)\n",
+    "\n",
+    "        blast_cmd = ['blastp', '-db', 'fold_num_%s_train_set_db' % str(fold_num), '-query', \n",
+    "                     'fold_num_%s_test_set.fa' % str(fold_num), '-out', 'fold_num_%s_result.xml' % str(fold_num),\n",
+    "                     '-outfmt', '5', '-num_threads', '7']\n",
+    "        proc = subprocess.call(blast_cmd)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in blast %s\" % str(fold_num))\n",
+    "\n",
+    "        result_handle = open(\"fold_num_%s_result.xml\" % str(fold_num), 'r')\n",
+    "        blast_records = NCBIXML.parse(result_handle)\n",
+    "        #scores = []\n",
+    "        # blast_records = number of sequences in query\n",
+    "        \n",
+    "        probas_ = []\n",
+    "        \n",
+    "        for i, blast_record in enumerate(blast_records):\n",
+    "            identity = []\n",
+    "            #flag = 0\n",
+    "            # alignments = sequences in database\n",
+    "            for j, alignment in enumerate(blast_record.alignments): \n",
+    "                for hsp in alignment.hsps:\n",
+    "                    #print (hsp.identities)\n",
+    "                    identity.append(hsp.identities)\n",
+    "            #print (identity)\n",
+    "            if identity == []:\n",
+    "                probas_.append(0)\n",
+    "            else:\n",
+    "                probas_.append(max(identity))\n",
+    "\n",
+    "#         precision_s = precision_score(y[test_idx], scores)\n",
+    "#         recall_s = recall_score(y[test_idx], scores)\n",
+    "#         f1_s = f1_score(y[test_idx], scores)\n",
+    "        precision, recall, thresholds = precision_recall_curve(y[test_idx], probas_)\n",
+    "        thresholds_c.append(thresholds)\n",
+    "        precision_c.append(precision)\n",
+    "        recall_.append(recall)\n",
+    "        #f1_l.append(f1_s)\n",
+    "\n",
+    "    print(\"Finished fold %s\" % str(fold_num))\n",
+    "#    break"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 92,
+   "metadata": {},
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "[41, 26, 23, 31, 22, 56, 17, 20, 26, 45, 20, 51, 26, 46, 66, 66, 31, 77, 20, 9, 64, 56, 15, 50, 27, 37, 32, 19, 36, 59, 42, 43, 24, 61, 36, 11, 12, 8, 6, 12, 8, 10, 12, 7, 8, 6, 11, 8, 11, 8, 10, 8, 8, 5, 6, 8, 10, 5, 8, 6, 8, 5, 5, 10, 7]\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (probas_)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 5,
+   "metadata": {
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "10\n",
+      "10\n",
+      "10\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (len(precision_c))\n",
+    "print (len(recall_c))\n",
+    "print (len(thresholds_c))"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {
+    "heading_collapsed": true
+   },
+   "source": [
+    "## 10-fold pr-curve"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 6,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "Finished fold 0\n",
+      "Finished fold 1\n",
+      "Finished fold 2\n",
+      "Finished fold 3\n",
+      "Finished fold 4\n",
+      "Finished fold 5\n",
+      "Finished fold 6\n",
+      "Finished fold 7\n",
+      "Finished fold 8\n",
+      "Finished fold 9\n"
+     ]
+    },
+    {
+     "data": {
+      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtMAAAH1CAYAAAA06XKHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+      "text/plain": [
+       "<matplotlib.figure.Figure at 0x7f52919a6f60>"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    }
+   ],
+   "source": [
+    "import seaborn as sns\n",
+    "mean_tpr = 0.0\n",
+    "mean_fpr = np.linspace(0, 1, 100)\n",
+    "colors = cycle(['cyan', 'crimson', 'seagreen', 'yellow', 'blue', 'darkorange', 'darkviolet', 'fuchsia',\n",
+    "               'deepskyblue', 'lightcoral'])\n",
+    "\n",
+    "sns.set(font_scale = 1.2)\n",
+    "colors = sns.hls_palette(10)\n",
+    "fig, ax = plt.subplots()\n",
+    "fig.set_size_inches(12.0, 8.0)\n",
+    "\n",
+    "lw = 2\n",
+    "i = 0\n",
+    "\n",
+    "cv_outer = StratifiedKFold(n_splits = 10, shuffle = True, random_state = 43)\n",
+    "\n",
+    "\n",
+    "precision_l = []\n",
+    "recall_l = []\n",
+    "f1_l = []\n",
+    "\n",
+    "y_real = []\n",
+    "y_proba = []\n",
+    "precision_blast = []\n",
+    "recall_blast = []\n",
+    "threshold_blast = []\n",
+    "\n",
+    "for fold_num, ((train_idx, test_idx), color) in enumerate(zip(cv_outer.split(decoy_train, y), colors)):\n",
+    "    with open('primary_bacteriocin_training_set', 'r') as in_handle:\n",
+    "        out_training_handle = open('fold_num_%s_train_set.fa' % str(fold_num), 'w')\n",
+    "        out_test_handle = open('fold_num_%s_test_set.fa' % str(fold_num), 'w')\n",
+    "\n",
+    "        for index, record in enumerate(SeqIO.parse(in_handle, 'fasta')):\n",
+    "            #if index in train_idx and index <= 345:\n",
+    "            if index in train_idx:\n",
+    "                out_training_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "            if index in test_idx:\n",
+    "                out_test_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "        out_training_handle.close()\n",
+    "        out_test_handle.close()\n",
+    "        make_blastdb_command = ['makeblastdb', '-in', 'fold_num_%s_train_set.fa' % str(fold_num),  \n",
+    "                                '-dbtype', 'prot', '-out', 'fold_num_%s_train_set_db' % str(fold_num)]\n",
+    "        proc = subprocess.call(make_blastdb_command)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in make blast %s\" % str(fold_num))\n",
+    "\n",
+    "        subprocess.check_call(make_blastdb_command)\n",
+    "\n",
+    "        blast_cmd = ['blastp', '-db', 'fold_num_%s_train_set_db' % str(fold_num), '-query', \n",
+    "                     'fold_num_%s_test_set.fa' % str(fold_num), '-out', 'fold_num_%s_result.xml' % str(fold_num),\n",
+    "                     '-outfmt', '5', '-num_threads', '7']\n",
+    "        proc = subprocess.call(blast_cmd)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in blast %s\" % str(fold_num))\n",
+    "\n",
+    "        result_handle = open(\"fold_num_%s_result.xml\" % str(fold_num), 'r')\n",
+    "        blast_records = NCBIXML.parse(result_handle)\n",
+    "        #scores = []\n",
+    "        # blast_records = number of sequences in query\n",
+    "        \n",
+    "        probas_ = []\n",
+    "        \n",
+    "        for i, blast_record in enumerate(blast_records):\n",
+    "            identity = []\n",
+    "            #flag = 0\n",
+    "            # alignments = sequences in database\n",
+    "            for j, alignment in enumerate(blast_record.alignments): \n",
+    "                for hsp in alignment.hsps:\n",
+    "                    #print (hsp.identities)\n",
+    "                    identity.append(hsp.identities)\n",
+    "            #print (identity)\n",
+    "            if identity == []:\n",
+    "                probas_.append(0)\n",
+    "            else:\n",
+    "                probas_.append(max(identity))\n",
+    "\n",
+    "        \n",
+    "        probas_ = [num / 100.0 for num in probas_]\n",
+    "        probas_ = np.asarray(probas_)\n",
+    "        #print(probas_)\n",
+    "        scores = np.where(probas_ > 0.35, 1, 0)\n",
+    "        scores = np.asarray(scores)\n",
+    "        #print(scores)\n",
+    "        #print(y[test_idx])\n",
+    "        precision_s = precision_score(y[test_idx], scores)\n",
+    "        recall_s = recall_score(y[test_idx], scores)\n",
+    "        f1_s = f1_score(y[test_idx], scores)\n",
+    "        \n",
+    "        precision_l.append(precision_s)\n",
+    "        recall_l.append(recall_s)\n",
+    "        f1_l.append(f1_s)\n",
+    "        \n",
+    "        precision, recall, thresholds = precision_recall_curve(y[test_idx], probas_)\n",
+    "        precision_blast.append(precision)\n",
+    "        recall_blast.append(recall)\n",
+    "        threshold_blast.append(thresholds)\n",
+    "        \n",
+    "        plt.plot(recall, precision, lw=lw, color=color,\n",
+    "             label='Fold %d (area = %0.3f)' % (i, auc(recall, precision)))\n",
+    "        y_real.append(y[test_idx])\n",
+    "        y_proba.append(probas_)\n",
+    "        i += 1\n",
+    "        \n",
+    "        rem_files = ['rm', 'fold_num_*']\n",
+    "        proc = subprocess.call('rm fold_num_*', shell=True)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in removing files\")\n",
+    "        \n",
+    "\n",
+    "    print(\"Finished fold %s\" % str(fold_num))\n",
+    "#    break\n",
+    "y_real = np.concatenate(y_real)\n",
+    "y_proba = np.concatenate(y_proba)\n",
+    "precision, recall, thresholds = precision_recall_curve(y_real, y_proba)\n",
+    "precision_blast.append(precision)\n",
+    "recall_blast.append(recall)\n",
+    "threshold_blast.append(thresholds)\n",
+    "plt.plot(recall, precision, lw=lw, color='g', linestyle='--',\n",
+    "             label='Mean (area = %0.3f)' % (auc(recall, precision)))\n",
+    "\n",
+    "plt.xlim([-0.05, 1.05])\n",
+    "plt.ylim([-0.05, 1.05])\n",
+    "plt.xlabel('Recall')\n",
+    "plt.ylabel('Precision')\n",
+    "plt.title('SVM Precision Recall Curve')\n",
+    "plt.legend(loc=\"lower left\")\n",
+    "plt.show()"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 7,
+   "metadata": {
+    "collapsed": true,
+    "hidden": true
+   },
+   "outputs": [],
+   "source": [
+    "# np.save('blast_precision_10_fold_seed_43.npy', precision_blast)\n",
+    "# np.save('blast_recall_10_fold_seed_43.npy', recall_blast)\n",
+    "# np.save('blast_threshold_10_fold_seed_43.npy', threshold_blast)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": null,
+   "metadata": {
+    "collapsed": true,
+    "hidden": true
+   },
+   "outputs": [],
+   "source": [
+    "import seaborn as sns\n",
+    "mean_tpr = 0.0\n",
+    "mean_fpr = np.linspace(0, 1, 100)\n",
+    "colors = cycle(['cyan', 'crimson', 'seagreen', 'yellow', 'blue', 'darkorange', 'darkviolet', 'fuchsia',\n",
+    "               'deepskyblue', 'lightcoral'])\n",
+    "\n",
+    "sns.set(font_scale = 1.2)\n",
+    "colors = sns.hls_palette(10)\n",
+    "fig, ax = plt.subplots()\n",
+    "fig.set_size_inches(12.0, 8.0)\n",
+    "\n",
+    "lw = 2\n",
+    "i = 0\n",
+    "\n",
+    "cv_outer = StratifiedKFold(n_splits = 10, shuffle = True, random_state = 43)\n",
+    "\n",
+    "\n",
+    "precision_l = []\n",
+    "recall_l = []\n",
+    "f1_l = []\n",
+    "\n",
+    "y_real = []\n",
+    "y_proba = []\n",
+    "precision_blast = []\n",
+    "recall_blast = []\n",
+    "threshold_blast = []\n",
+    "\n",
+    "for fold_num, ((train_idx, test_idx), color) in enumerate(zip(cv_outer.split(decoy_train, y), colors)):\n",
+    "    with open('primary_bacteriocin_training_set', 'r') as in_handle:\n",
+    "        out_training_handle = open('fold_num_%s_train_set.fa' % str(fold_num), 'w')\n",
+    "        out_test_handle = open('fold_num_%s_test_set.fa' % str(fold_num), 'w')\n",
+    "\n",
+    "        for index, record in enumerate(SeqIO.parse(in_handle, 'fasta')):\n",
+    "            #if index in train_idx and index <= 345:\n",
+    "            if index in train_idx:\n",
+    "                out_training_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "            if index in test_idx:\n",
+    "                out_test_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "        out_training_handle.close()\n",
+    "        out_test_handle.close()\n",
+    "        make_blastdb_command = ['makeblastdb', '-in', 'fold_num_%s_train_set.fa' % str(fold_num),  \n",
+    "                                '-dbtype', 'prot', '-out', 'fold_num_%s_train_set_db' % str(fold_num)]\n",
+    "        proc = subprocess.call(make_blastdb_command)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in make blast %s\" % str(fold_num))\n",
+    "\n",
+    "        subprocess.check_call(make_blastdb_command)\n",
+    "\n",
+    "        blast_cmd = ['blastp', '-db', 'fold_num_%s_train_set_db' % str(fold_num), '-query', \n",
+    "                     'fold_num_%s_test_set.fa' % str(fold_num), '-out', 'fold_num_%s_result.xml' % str(fold_num),\n",
+    "                     '-outfmt', '5', '-num_threads', '7']\n",
+    "        proc = subprocess.call(blast_cmd)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in blast %s\" % str(fold_num))\n",
+    "\n",
+    "        result_handle = open(\"fold_num_%s_result.xml\" % str(fold_num), 'r')\n",
+    "        blast_records = NCBIXML.parse(result_handle)\n",
+    "        #scores = []\n",
+    "        # blast_records = number of sequences in query\n",
+    "        \n",
+    "        scores = []\n",
+    "        # blast_records = number of sequences in query\n",
+    "        for i, blast_record in enumerate(blast_records):\n",
+    "            flag = 0\n",
+    "            # alignments = sequences in database\n",
+    "            for j, alignment in enumerate(blast_record.alignments): \n",
+    "                for hsp in alignment.hsps:\n",
+    "                    if hsp.expect < 0.001: # less than 10^-3\n",
+    "                        scores.append(1)\n",
+    "                        flag = 1\n",
+    "                        break\n",
+    "                if flag == 1:\n",
+    "                    break\n",
+    "            if flag == 0:\n",
+    "                scores.append(0)\n",
+    "\n",
+    "        \n",
+    "        probas_ = [num / 100.0 for num in probas_]\n",
+    "        probas_ = np.asarray(probas_)\n",
+    "        #print(probas_)\n",
+    "        scores = np.where(probas_ > 0.35, 1, 0)\n",
+    "        scores = np.asarray(scores)\n",
+    "        #print(scores)\n",
+    "        #print(y[test_idx])\n",
+    "        precision_s = precision_score(y[test_idx], scores)\n",
+    "        recall_s = recall_score(y[test_idx], scores)\n",
+    "        f1_s = f1_score(y[test_idx], scores)\n",
+    "        \n",
+    "        precision_l.append(precision_s)\n",
+    "        recall_l.append(recall_s)\n",
+    "        f1_l.append(f1_s)\n",
+    "        \n",
+    "        precision, recall, thresholds = precision_recall_curve(y[test_idx], probas_)\n",
+    "        precision_blast.append(precision)\n",
+    "        recall_blast.append(recall)\n",
+    "        threshold_blast.append(thresholds)\n",
+    "        \n",
+    "        plt.plot(recall, precision, lw=lw, color=color,\n",
+    "             label='Fold %d (area = %0.3f)' % (i, auc(recall, precision)))\n",
+    "        y_real.append(y[test_idx])\n",
+    "        y_proba.append(probas_)\n",
+    "        i += 1\n",
+    "        \n",
+    "        rem_files = ['rm', 'fold_num_*']\n",
+    "        proc = subprocess.call('rm fold_num_*', shell=True)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in removing files\")\n",
+    "        \n",
+    "\n",
+    "    print(\"Finished fold %s\" % str(fold_num))\n",
+    "#    break\n",
+    "y_real = np.concatenate(y_real)\n",
+    "y_proba = np.concatenate(y_proba)\n",
+    "precision, recall, thresholds = precision_recall_curve(y_real, y_proba)\n",
+    "precision_blast.append(precision)\n",
+    "recall_blast.append(recall)\n",
+    "threshold_blast.append(thresholds)\n",
+    "plt.plot(recall, precision, lw=lw, color='g', linestyle='--',\n",
+    "             label='Mean (area = %0.3f)' % (auc(recall, precision)))\n",
+    "\n",
+    "plt.xlim([-0.05, 1.05])\n",
+    "plt.ylim([-0.05, 1.05])\n",
+    "plt.xlabel('Recall')\n",
+    "plt.ylabel('Precision')\n",
+    "plt.title('SVM Precision Recall Curve')\n",
+    "plt.legend(loc=\"lower left\")\n",
+    "plt.show()"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 8,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "0.9745066630771302\n",
+      "0.5092436974789916\n",
+      "0.6615915846338607\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (np.mean(precision_l))\n",
+    "print (np.mean(recall_l))\n",
+    "print (np.mean(f1_l))"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 25,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "[1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0]\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (precision_l)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 21,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "68\n",
+      "[0.27, 0.08, 0.51, 0.13, 0.45, 0.2, 0.48, 0.28, 0.18, 0.68, 0.27, 0.66, 0.52, 0.62, 0.17, 0.43, 0.39, 0.33, 0.21, 0.3, 0.37, 0.41, 0.18, 0.11, 0.67, 0.59, 0.56, 0.11, 0.36, 0.19, 0.37, 0.52, 0.27, 0.34, 0.08, 0.08, 0.08, 0.09, 0.11, 0.1, 0.08, 0.13, 0.08, 0.21, 0.1, 0.0, 0.0, 0.0, 0.09, 0.0, 0.09, 0.0, 0.14, 0.09, 0.08, 0.07, 0.08, 0.06, 0.06, 0.1, 0.05, 0.06, 0.09, 0.14, 0.09, 0.1, 0.09, 0.09]\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (len(probas_))\n",
+    "print (probas_)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 32,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "[1 2]\n"
+     ]
+    }
+   ],
+   "source": [
+    "a = [1, 2]\n",
+    "a = np.asarray(a)\n",
+    "print(a)"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {
+    "heading_collapsed": true
+   },
+   "source": [
+    "## 10-fold ROC curve "
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 69,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "[1 0 0 0 0 1 0 0 0 1 0 1 0 1 1 1 0 1 0 0 1 1 0 1 0 0 0 0 0 1 1 1 0 1 0 0 1\n",
+      " 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.41 0.26 0.23 0.31 0.22 0.56 0.17 0.2  0.26 0.45 0.2  0.51 0.26 0.46\n",
+      " 0.66 0.66 0.31 0.77 0.2  0.09 0.64 0.56 0.15 0.5  0.27 0.37 0.32 0.19\n",
+      " 0.36 0.59 0.42 0.43 0.24 0.61 0.36 0.25 0.41 0.3  0.1  0.12 0.13 0.1\n",
+      " 0.12 0.23 0.11 0.08 0.09 0.43 0.18 0.18 0.11 0.11 0.11 0.08 0.11 0.07\n",
+      " 0.06 0.14 0.12 0.22 0.22 0.17 0.08 0.12 0.21 0.   0.12 0.07 0.1  0.1 ]\n",
+      "Finished fold 0\n",
+      "[0 1 1 0 0 0 0 1 1 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 0 0 1 1 1 0 0 1 1 1 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.3  0.49 0.41 0.25 0.14 0.19 0.19 0.56 0.52 0.59 0.44 0.47 0.5  0.59\n",
+      " 0.16 0.19 0.49 0.69 0.45 0.88 0.2  0.66 0.43 0.64 0.18 0.33 0.54 0.62\n",
+      " 0.43 0.16 0.15 0.89 0.96 0.6  0.24 0.36 0.09 0.33 0.09 0.08 0.27 0.18\n",
+      " 0.1  0.06 0.19 0.08 0.1  0.25 0.09 0.15 0.14 0.21 0.22 0.   0.06 0.09\n",
+      " 0.16 0.07 0.11 0.09 0.14 0.09 0.1  0.2  0.17 0.25 0.17 0.07 0.06 0.08]\n",
+      "Finished fold 1\n",
+      "[0 1 1 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 0 1 1 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.09 0.6  0.42 0.43 0.19 0.16 0.43 0.31 0.2  0.29 0.33 0.69 0.46 0.47\n",
+      " 0.34 0.12 0.14 0.1  0.52 0.13 0.09 0.26 0.46 0.19 0.32 0.35 0.12 0.96\n",
+      " 0.41 0.29 0.19 0.13 0.67 0.43 0.29 0.25 0.09 0.13 0.06 0.21 0.29 0.33\n",
+      " 0.07 0.25 0.16 0.12 0.17 0.04 0.12 0.1  0.23 0.08 0.13 0.05 0.12 0.12\n",
+      " 0.08 0.14 0.07 0.1  0.09 0.14 0.2  0.06 0.21 0.1  0.15 0.13 0.14 0.09]\n",
+      "Finished fold 2\n",
+      "[0 0 1 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.26 0.2  0.58 0.39 0.32 0.36 0.23 0.   0.42 0.69 0.46 0.31 0.19 0.15\n",
+      " 0.32 0.07 0.13 0.29 0.28 0.22 0.74 0.65 0.62 0.71 0.44 0.21 0.33 0.24\n",
+      " 0.29 0.16 0.18 0.11 0.12 0.08 0.   0.05 0.14 0.21 0.31 0.08 0.1  0.29\n",
+      " 0.33 0.11 0.16 0.26 0.12 0.12 0.07 0.   0.11 0.   0.16 0.11 0.15 0.29\n",
+      " 0.19 0.14 0.07 0.14 0.17 0.08 0.21 0.22 0.25 0.14 0.13 0.09 0.16 0.09]\n",
+      "Finished fold 3\n",
+      "[0 1 0 0 1 0 1 1 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 0 0 1 1 1 1 1 0 1 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.4  0.51 0.05 0.25 0.42 0.09 0.46 0.44 0.22 0.14 0.26 0.68 0.28 0.09\n",
+      " 0.12 0.47 0.39 0.66 0.38 0.12 0.42 0.19 0.37 0.46 0.33 0.14 0.3  0.39\n",
+      " 0.96 0.42 0.6  0.61 0.85 0.24 0.64 0.08 0.3  0.09 0.2  0.26 0.08 0.14\n",
+      " 0.11 0.25 0.07 0.26 0.08 0.12 0.08 0.13 0.15 0.07 0.1  0.07 0.09 0.09\n",
+      " 0.17 0.13 0.09 0.22 0.08 0.08 0.08 0.09 0.17 0.07 0.09 0.09 0.09 0.12]\n",
+      "Finished fold 4\n",
+      "[0 1 0 1 0 0 0 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.36 0.43 0.19 0.56 0.33 0.06 0.06 0.3  0.47 0.58 0.2  0.35 0.07 0.88\n",
+      " 0.33 0.47 0.83 0.66 0.12 0.43 0.27 0.43 0.69 0.12 0.38 0.1  0.74 0.15\n",
+      " 0.32 0.19 0.18 0.61 0.17 0.85 0.27 0.23 0.08 0.39 0.2  0.24 0.1  0.07\n",
+      " 0.11 0.15 0.17 0.08 0.26 0.08 0.12 0.09 0.06 0.13 0.11 0.1  0.07 0.13\n",
+      " 0.14 0.09 0.13 0.09 0.   0.06 0.17 0.22 0.08 0.09 0.18 0.09 0.11 0.07]\n",
+      "Finished fold 5\n",
+      "[0 1 0 0 0 0 0 0 1 1 1 0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 0 1 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.38 0.49 0.38 0.38 0.18 0.3  0.35 0.32 0.47 0.67 0.44 0.39 0.47 0.39\n",
+      " 0.52 0.08 0.21 0.33 0.23 0.32 0.33 0.21 0.26 0.7  0.39 0.16 0.5  0.14\n",
+      " 0.96 0.28 0.56 0.52 0.64 0.3  0.41 0.31 0.17 0.28 0.33 0.07 0.09 0.1\n",
+      " 0.15 0.19 0.   0.13 0.11 0.08 0.17 0.1  0.17 0.11 0.12 0.17 0.06 0.29\n",
+      " 0.19 0.09 0.11 0.13 0.08 0.13 0.13 0.09 0.19 0.07 0.06 0.13]\n",
+      "Finished fold 6\n",
+      "[1 0 0 1 1 1 1 1 1 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 0 1 0 1 0 0 1 0 0 0 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.59 0.17 0.38 0.43 0.58 0.46 0.52 0.46 0.46 0.19 0.12 0.15 0.74 0.36\n",
+      " 0.79 0.8  0.28 0.77 0.38 0.43 0.71 0.42 0.56 0.41 0.38 0.54 0.3  0.5\n",
+      " 0.17 0.15 0.89 0.38 0.26 0.13 0.07 0.23 0.31 0.08 0.08 0.1  0.05 0.09\n",
+      " 0.12 0.22 0.09 0.1  0.1  0.12 0.17 0.15 0.08 0.   0.14 0.14 0.09 0.25\n",
+      " 0.11 0.12 0.09 0.2  0.25 0.1  0.11 0.14 0.07 0.13 0.1  0.08]\n",
+      "Finished fold 7\n",
+      "[0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 0 0 1 1 1 1 0 1 0 0 0 0 1 1 1 0 0 1 0 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.36 0.13 0.33 0.25 0.27 0.62 0.29 0.37 0.5  0.4  0.08 0.34 0.6  0.43\n",
+      " 0.32 0.3  0.11 0.7  0.83 0.5  0.69 0.21 0.56 0.36 0.29 0.07 0.18 0.45\n",
+      " 0.56 0.49 0.14 0.16 0.89 0.29 0.21 0.25 0.24 0.16 0.12 0.29 0.13 0.2\n",
+      " 0.14 0.11 0.23 0.08 0.13 0.11 0.14 0.09 0.12 0.17 0.13 0.07 0.13 0.08\n",
+      " 0.15 0.09 0.21 0.09 0.25 0.05 0.17 0.08 0.15 0.08 0.16 0.16]\n",
+      "Finished fold 8\n",
+      "[0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 0 0 1 0 0 1 1 1 0 0 0 0 1 0 0 0 0 0\n",
+      " 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0]\n",
+      "[0.27 0.12 0.51 0.13 0.45 0.2  0.48 0.28 0.18 0.68 0.27 0.66 0.52 0.62\n",
+      " 0.17 0.43 0.39 0.33 0.21 0.3  0.37 0.41 0.18 0.09 0.67 0.59 0.56 0.11\n",
+      " 0.36 0.19 0.37 0.52 0.27 0.34 0.28 0.31 0.26 0.33 0.33 0.1  0.1  0.26\n",
+      " 0.22 0.1  0.11 0.19 0.08 0.14 0.04 0.12 0.05 0.1  0.09 0.22 0.22 0.1\n",
+      " 0.12 0.11 0.15 0.13 0.08 0.09 0.1  0.16 0.16 0.07 0.11 0.07]\n",
+      "Finished fold 9\n"
+     ]
+    },
+    {
+     "data": {
+      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtMAAAH1CAYAAAA06XKHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n",
+      "text/plain": [
+       "<matplotlib.figure.Figure at 0x7f2dd6e8bfd0>"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    }
+   ],
+   "source": [
+    "# ROC curve stuff\n",
+    "mean_tpr = 0.0\n",
+    "mean_fpr = np.linspace(0, 1, 100)\n",
+    "colors = cycle(['cyan', 'crimson', 'seagreen', 'yellow', 'blue', 'darkorange', 'darkviolet', 'fuchsia',\n",
+    "               'deepskyblue', 'lightcoral'])\n",
+    "lw = 2\n",
+    "i = 0\n",
+    "\n",
+    "sns.set(font_scale = 1.2)\n",
+    "colors = sns.hls_palette(10)\n",
+    "fig, ax = plt.subplots()\n",
+    "fig.set_size_inches(12.0, 8.0)\n",
+    "\n",
+    "precision_scores = []\n",
+    "recall_scores = []\n",
+    "f1_scores = []\n",
+    "\n",
+    "fpr_svm = []\n",
+    "tpr_svm = []\n",
+    "threshold_roc_svm = []\n",
+    "\n",
+    "cv_outer = StratifiedKFold(n_splits = 10, shuffle = True, random_state = 43)\n",
+    "\n",
+    "thresholds_c = []\n",
+    "precision_c = []\n",
+    "recall_c = []\n",
+    "for fold_num, ((train_idx, test_idx), color) in enumerate(zip(cv_outer.split(decoy_train, y), colors)):\n",
+    "    with open('primary_bacteriocin_training_set', 'r') as in_handle:\n",
+    "        out_training_handle = open('fold_num_%s_train_set.fa' % str(fold_num), 'w')\n",
+    "        out_test_handle = open('fold_num_%s_test_set.fa' % str(fold_num), 'w')\n",
+    "\n",
+    "        for index, record in enumerate(SeqIO.parse(in_handle, 'fasta')):\n",
+    "            #if index in train_idx and index <= 345:\n",
+    "            if index in train_idx:\n",
+    "                out_training_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "            if index in test_idx:\n",
+    "                out_test_handle.write('>%s\\n%s\\n' % (record.description, record.seq))\n",
+    "        out_training_handle.close()\n",
+    "        out_test_handle.close()\n",
+    "        make_blastdb_command = ['makeblastdb', '-in', 'fold_num_%s_train_set.fa' % str(fold_num),  \n",
+    "                                '-dbtype', 'prot', '-out', 'fold_num_%s_train_set_db' % str(fold_num)]\n",
+    "        proc = subprocess.call(make_blastdb_command)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in make blast %s\" % str(fold_num))\n",
+    "\n",
+    "        subprocess.check_call(make_blastdb_command)\n",
+    "\n",
+    "        blast_cmd = ['blastp', '-db', 'fold_num_%s_train_set_db' % str(fold_num), '-query', \n",
+    "                     'fold_num_%s_test_set.fa' % str(fold_num), '-out', 'fold_num_%s_result.xml' % str(fold_num),\n",
+    "                     '-outfmt', '5', '-num_threads', '7']\n",
+    "        proc = subprocess.call(blast_cmd)\n",
+    "        if proc != 0:\n",
+    "            print (\"Error in blast %s\" % str(fold_num))\n",
+    "\n",
+    "        result_handle = open(\"fold_num_%s_result.xml\" % str(fold_num), 'r')\n",
+    "        blast_records = NCBIXML.parse(result_handle)\n",
+    "        #scores = []\n",
+    "        # blast_records = number of sequences in query\n",
+    "        \n",
+    "        probas_ = []\n",
+    "        \n",
+    "        for i, blast_record in enumerate(blast_records):\n",
+    "            identity = []\n",
+    "            #flag = 0\n",
+    "            # alignments = sequences in database\n",
+    "            for j, alignment in enumerate(blast_record.alignments): \n",
+    "                for hsp in alignment.hsps:\n",
+    "                    #print (hsp.identities)\n",
+    "                    identity.append(hsp.identities)\n",
+    "            #print (identity)\n",
+    "            if identity == []:\n",
+    "                probas_.append(0)\n",
+    "            else:\n",
+    "                probas_.append(max(identity))\n",
+    "\n",
+    "#         precision_s = precision_score(y[test_idx], scores)\n",
+    "#         recall_s = recall_score(y[test_idx], scores)\n",
+    "#         f1_s = f1_score(y[test_idx], scores)\n",
+    "        probas_ = [num / 100.0 for num in probas_]\n",
+    "        probas_ = np.asarray(probas_)\n",
+    "        #print(probas_)\n",
+    "        scores = np.where(probas_ > 0.4, 1, 0)\n",
+    "        scores = np.asarray(scores)\n",
+    "        print(scores)\n",
+    "        print(probas_)\n",
+    "        \n",
+    "        fpr, tpr, thresholds = roc_curve(y[test_idx], probas_, pos_label = 1)\n",
+    "\n",
+    "        fpr_svm.append(fpr)\n",
+    "        tpr_svm.append(tpr)\n",
+    "        threshold_roc_svm.append(thresholds)\n",
+    "\n",
+    "        mean_tpr += interp(mean_fpr, fpr, tpr)\n",
+    "        mean_tpr[0] = 0.0\n",
+    "        roc_auc = auc(fpr, tpr)\n",
+    "        plt.plot(fpr, tpr, lw=lw, color=color,\n",
+    "                 label='ROC fold %d (area = %0.2f)' % (i, roc_auc))\n",
+    "        i += 1\n",
+    "        #f1_l.append(f1_s)\n",
+    "\n",
+    "    print(\"Finished fold %s\" % str(fold_num))\n",
+    "#    break\n",
+    "# Draw ROC curves\n",
+    "plt.plot([0, 1], [0, 1], linestyle='--', lw=lw, color='k',\n",
+    "         label='Base line')\n",
+    "\n",
+    "mean_tpr /= cv_outer.get_n_splits(decoy_train, y)\n",
+    "mean_tpr[-1] = 1.0\n",
+    "mean_auc = auc(mean_fpr, mean_tpr)\n",
+    "\n",
+    "\n",
+    "plt.plot(mean_fpr, mean_tpr, color='g', linestyle='--',\n",
+    "         label='Mean ROC (area = %0.2f)' % mean_auc, lw=lw)\n",
+    "\n",
+    "plt.xlim([-0.05, 1.05])\n",
+    "plt.ylim([-0.05, 1.05])\n",
+    "plt.xlabel('False Positive Rate')\n",
+    "plt.ylabel('True Positive Rate')\n",
+    "plt.title('SVM Receiver operating characteristic')\n",
+    "plt.legend(loc=\"lower right\")\n",
+    "plt.show()"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 39,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "data": {
+      "text/plain": [
+       "[array([0.02857143, 0.08571429, 0.17142857, 0.22857143, 0.34285714,\n",
+       "        0.37142857, 0.45714286, 0.51428571, 0.54285714, 0.6       ,\n",
+       "        0.62857143, 0.71428571, 0.8       , 0.88571429, 0.97142857,\n",
+       "        0.97142857, 0.97142857, 0.97142857, 1.        , 1.        ,\n",
+       "        1.        , 1.        , 1.        , 1.        ]),\n",
+       " array([0.02857143, 0.22857143, 0.28571429, 0.4       , 0.45714286,\n",
+       "        0.54285714, 0.6       , 0.77142857, 0.85714286, 0.88571429,\n",
+       "        0.94285714, 1.        , 1.        , 1.        , 1.        ,\n",
+       "        1.        , 1.        , 1.        , 1.        , 1.        ]),\n",
+       " array([0.02857143, 0.17142857, 0.28571429, 0.48571429, 0.57142857,\n",
+       "        0.68571429, 0.77142857, 0.77142857, 0.8       , 0.8       ,\n",
+       "        0.85714286, 0.88571429, 0.88571429, 0.91428571, 0.94285714,\n",
+       "        0.97142857, 1.        , 1.        , 1.        ]),\n",
+       " array([0.02857143, 0.34285714, 0.4       , 0.42857143, 0.48571429,\n",
+       "        0.68571429, 0.71428571, 0.77142857, 0.8       , 0.8       ,\n",
+       "        0.85714286, 0.85714286, 0.85714286, 0.91428571, 0.94285714,\n",
+       "        0.94285714, 0.97142857, 1.        ]),\n",
+       " array([0.02857143, 0.25714286, 0.31428571, 0.34285714, 0.42857143,\n",
+       "        0.45714286, 0.51428571, 0.82857143, 0.82857143, 0.85714286,\n",
+       "        0.88571429, 0.91428571, 0.91428571, 0.94285714, 0.94285714,\n",
+       "        0.94285714, 0.94285714, 0.97142857, 0.97142857, 1.        ]),\n",
+       " array([0.02857143, 0.25714286, 0.31428571, 0.4       , 0.48571429,\n",
+       "        0.54285714, 0.6       , 0.65714286, 0.68571429, 0.74285714,\n",
+       "        0.77142857, 0.77142857, 0.8       , 0.8       , 0.85714286,\n",
+       "        0.91428571, 0.91428571, 0.94285714, 1.        , 1.        ,\n",
+       "        1.        , 1.        ]),\n",
+       " array([0.02941176, 0.14705882, 0.20588235, 0.26470588, 0.32352941,\n",
+       "        0.35294118, 0.52941176, 0.55882353, 0.73529412, 0.82352941,\n",
+       "        0.88235294, 0.94117647, 0.94117647, 0.94117647, 0.94117647,\n",
+       "        0.97058824, 0.97058824, 0.97058824, 1.        , 1.        ,\n",
+       "        1.        ]),\n",
+       " array([0.02941176, 0.35294118, 0.44117647, 0.5       , 0.55882353,\n",
+       "        0.67647059, 0.82352941, 0.88235294, 0.88235294, 0.94117647,\n",
+       "        0.94117647, 0.97058824, 1.        , 1.        , 1.        ,\n",
+       "        1.        , 1.        , 1.        , 1.        , 1.        ]),\n",
+       " array([0.02941176, 0.17647059, 0.29411765, 0.44117647, 0.5       ,\n",
+       "        0.61764706, 0.70588235, 0.79411765, 0.85294118, 0.88235294,\n",
+       "        0.88235294, 0.88235294, 0.88235294, 0.88235294, 0.91176471,\n",
+       "        0.91176471, 1.        , 1.        , 1.        , 1.        ]),\n",
+       " array([0.02941176, 0.17647059, 0.23529412, 0.41176471, 0.47058824,\n",
+       "        0.61764706, 0.70588235, 0.79411765, 0.85294118, 0.88235294,\n",
+       "        0.91176471, 0.91176471, 0.97058824, 0.97058824, 0.97058824,\n",
+       "        1.        , 1.        , 1.        , 1.        , 1.        ])]"
+      ]
+     },
+     "execution_count": 39,
+     "metadata": {},
+     "output_type": "execute_result"
+    }
+   ],
+   "source": [
+    "tpr_svm"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {
+    "heading_collapsed": true
+   },
+   "source": [
+    "## New bar chart"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 12,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "data": {
+      "image/png": "iVBORw0KGgoAAAANSUhEUgAAB00AAAUXCAYAAAA1H/gFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEEIIIYS81f4fVNy7BuU4N54AAAAASUVORK5CYII=\n",
+      "text/plain": [
+       "<matplotlib.figure.Figure at 0x7f3a61bb0be0>"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    }
+   ],
+   "source": [
+    "import seaborn as sns\n",
+    "sns.set_style(\"white\")\n",
+    "mean_precision_w2v = [0.877, 0.85, 0.731, 0.82, 0.898]\n",
+    "mean_recall_w2v = [0.863, 0.823, 0.747, 0.813, 0.883]\n",
+    "mean_f1_w2v = [0.869, 0.834, 0.736, 0.814, 0.889]\n",
+    "mean_precision_kmer = [0.875, 0.864, 0.755, 0.833]\n",
+    "mean_recall_kmer = [0.808, 0.837, 0.719, 0.773]\n",
+    "mean_f1_kmer = [0.838, 0.846, 0.733, 0.799]\n",
+    "\n",
+    "mean_svm = [0.877, 0.863, 0.869,0.875,0.808,0.838]\n",
+    "mean_logreg = [0.85, 0.823, 0.834,0.864, 0.837, 0.845]\n",
+    "mean_dt = [0.731, 0.747, 0.736,0.755, 0.719, 0.733]\n",
+    "mean_rf = [0.82, 0.813, 0.814,0.833, 0.773, 0.799]\n",
+    "mean_rnn = [0.898, 0.883, 0.889]\n",
+    "mean_blast = [0.972, 0.506, 0.663]\n",
+    "\n",
+    "\n",
+    "mean_svm_error = [0.001, 0.001, 0.0009, 0.001, 0.002, 0.001]\n",
+    "mean_logreg_error = [0.001, 0.001, 0.0009, 0.002, 0.002, 0.001]\n",
+    "mean_dt_error = [0.002, 0.003, 0.002, 0.015, 0.002, 0.001]\n",
+    "mean_rf_error = [0.001, 0.002, 0.001, 0.002, 0.001, 0.001]\n",
+    "mean_rnn_error = [0.003, 0.003, 0.001]\n",
+    "mean_blast_error = [0.0006, 0.002, 0.002]\n",
+    "\n",
+    "plt.rc('ytick',labelsize=22)\n",
+    "plt.rc('xtick',labelsize=24)\n",
+    "algos = ['SVM', 'Logistic Regression', 'Decision Tree', 'Random Forest', 'Bidirec RNN', 'BLAST']\n",
+    "pos = list(range(len(mean_svm))) \n",
+    "width = 0.13 \n",
+    "\n",
+    "fig, ax = plt.subplots()\n",
+    "fig.set_size_inches(31.92, 23)\n",
+    "plt.tick_params(size = 10)\n",
+    "#plt.rc('xtick',labelsize=18)\n",
+    "plt.bar(pos, mean_svm, width, yerr = mean_svm_error, color = '#1b9e77')\n",
+    "plt.bar([p + width for p in pos], mean_logreg, width, yerr = mean_logreg_error, color = '#d95f02') \n",
+    "plt.bar([p + 2*width for p in pos], mean_dt, width, yerr = mean_dt_error, color = '#4daf4a') \n",
+    "plt.bar([p + 3*width for p in pos], mean_rf, width, yerr = mean_rf_error, color = '#7570b3') \n",
+    "plt.bar([p + 4*width for p in list(range(3))], mean_rnn, width, yerr = mean_rnn_error, color = '#e7298a') \n",
+    "plt.bar([p + 5*width for p in list(range(3,6))], mean_blast, width, yerr = mean_blast_error, color = 'lightcoral') \n",
+    "\n",
+    "# plt.scatter(pos, mean_svm_w2v,  s=90)\n",
+    "# plt.scatter([p + width for p in pos], mean_logreg_w2v, s=100) \n",
+    "# plt.scatter([p + 2*width for p in pos], mean_dt_w2v, s=100) \n",
+    "# plt.scatter([p + 3*width for p in pos], mean_rf_w2v,  s=100) \n",
+    "# plt.scatter([p + 4*width for p in pos], mean_rnn_w2v,   s=100) \n",
+    "\n",
+    "# Set the y axis label\n",
+    "ax.set_ylabel('Score',fontsize = 24)\n",
+    "ax.spines[\"top\"].set_visible(False)  \n",
+    "ax.spines[\"right\"].set_visible(False)\n",
+    "ax.tick_params(axis = 'x', size = 0)\n",
+    "plt.xticks([0.25,1.2,2.2,3.2,4.2,5.2], ('Precision-w2v', 'Recall-w2v', 'F1-w2v','Precision-baseline', \n",
+    "                                        'Recall-baseline', \n",
+    "                                        'F1-baseline'))\n",
+    "#plt.xticks()\n",
+    "# plt.bar([p + 5*width/2 for p in pos], mean_svm_kmer, width/2)\n",
+    "# plt.bar([p + 6*width/2 for p in pos], mean_logreg_kmer, width/2) \n",
+    "# plt.bar([p + 7*width/2 for p in pos], mean_dt_kmer, width/2) \n",
+    "# plt.bar([p + 8*width/2 for p in pos], mean_rf_kmer, width/2) \n",
+    "# Set the chart's title\n",
+    "#ax.set_title('Test Subject Scores')\n",
+    "\n",
+    "# Set the position of the x ticks\n",
+    "#ax.set_xticks([p + 1.5 * width for p in pos])\n",
+    "\n",
+    "# Set the labels for the x ticks\n",
+    "#ax.set_xticklabels(df['first_name'])\n",
+    "\n",
+    "# Setting the x-axis and y-axis limits\n",
+    "#plt.xlim([0, 1.02])\n",
+    "plt.ylim(0.0,1.02)\n",
+    "#plt.ylim([0, max(df['pre_score'] + df['mid_score'] + df['post_score'])] )\n",
+    "\n",
+    "# Adding the legend and showing the plot\n",
+    "plt.legend(algos, loc='upper right', fontsize = 24)\n",
+    "ax.figure.savefig('bar_all.eps', format = 'eps', dpi = 1200, bbox_inches=\"tight\")\n",
+    "ax.figure.savefig('bar_all.png', format = 'png', dpi = 1200, bbox_inches=\"tight\")\n",
+    "ax.figure.savefig('bar_all.pdf', format = 'pdf', dpi = 1200, bbox_inches=\"tight\")\n",
+    "#plt.grid()\n",
+    "#plt.show()"
+   ]
+  },
+  {
+   "cell_type": "markdown",
+   "metadata": {
+    "collapsed": true,
+    "heading_collapsed": true
+   },
+   "source": [
+    "## Creating cytoscape network file"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 190,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "185\n"
+     ]
+    }
+   ],
+   "source": [
+    "result_handle = open(\"positive_bacteriocin_less_than_30_blast_result_agianst_itself.xml\", 'r')\n",
+    "blast_records = NCBIXML.parse(result_handle)\n",
+    "\n",
+    "out_handle = open('connections_file', 'w')\n",
+    "connections_dict = defaultdict(list)\n",
+    "for i, blast_record in enumerate(blast_records):\n",
+    "    for j, alignment in enumerate(blast_record.alignments): \n",
+    "        for hsp in alignment.hsps:\n",
+    "            query_id = blast_record.query.split(\"|\")[0]\n",
+    "            hit_id = alignment.hit_def.split(\"|\")[0]\n",
+    "            query_id = '_'.join(query_id.split('.'))\n",
+    "            hit_id = '_'.join(hit_id.split('.'))\n",
+    "            if hsp.identities >= 35 and query_id != hit_id:\n",
+    "                #print (blast_record.query.split(\"|\")[0])\n",
+    "                #print (alignment.hit_def.split(\"|\")[0])\n",
+    "                connections_dict[query_id].append(hit_id)\n",
+    "                identity.append(hsp.identities)\n",
+    "                \n",
+    "                out_handle.write('%s %s\\n' % (query_id, hit_id))\n",
+    "                #break\n",
+    "\n",
+    "print (len(connections_dict))\n",
+    "out_handle.close()"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 33,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "346\n"
+     ]
+    }
+   ],
+   "source": [
+    "# cluster_size_dict = defaultdict(list)\n",
+    "# for index, record in enumerate(SeqIO.parse('positive_bacteriocin_less_than_30.fa', 'fasta')):\n",
+    "#     tok = record.description.split('|')[0]\n",
+    "#     tok = '_'.join(tok.split('.'))\n",
+    "#     cluster_size_dict[tok] = 1\n",
+    "# print (len(cluster_size_dict))"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 206,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "187\n"
+     ]
+    }
+   ],
+   "source": [
+    "cluster_dict = defaultdict(list)\n",
+    "#cluster_size_dict = defaultdict(int)\n",
+    "with open('connections_file', 'r') as in_handle:\n",
+    "    for line in in_handle:\n",
+    "        tok = line[0:-1].split(' ')\n",
+    "        #print (tok)\n",
+    "        if tok[1] not in cluster_dict[tok[0]] and tok[0] not in cluster_dict[tok[1]]:\n",
+    "            #cluster_size_dict[tok[0]] += 1\n",
+    "            cluster_dict[tok[0]].append(tok[1])\n",
+    "        #break\n",
+    "print (len(cluster_dict))"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 193,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "defaultdict(<class 'list'>, {'5_1': ['120_1'], '120_1': [], '6_1': ['119_1'], '119_1': [], '8_1': ['9_1'], '9_1': [], '10_1': ['130_1', '59_1'], '130_1': [], '59_1': ['130_1'], '11_1': ['96_1'], '96_1': [], '12_1': ['13_1', '14_1'], '13_1': ['14_1'], '14_1': ['100_1', '99_1'], '100_1': [], '99_1': ['100_1'], '17_1': ['40_1'], '40_1': [], '25_1': ['31_1', '128_1', '30_1'], '31_1': ['128_1'], '128_1': [], '30_1': ['128_1', '31_1'], '27_1': ['34_1'], '34_1': [], '28_1': ['29_1'], '29_1': [], '36_1': ['37_1'], '37_1': [], '39_1': ['173_2'], '173_2': [], '47_1': ['122_1'], '122_1': [], '49_1': ['17_2', '141_1'], '17_2': [], '141_1': [], '56_1': ['57_1'], '57_1': [], '58_1': ['125_1', '126_1', '108_1'], '125_1': ['126_1'], '126_1': [], '108_1': ['125_1', '126_1'], '60_1': ['153_2'], '153_2': [], '66_1': ['151_2'], '151_2': [], '68_1': ['70_1'], '70_1': [], '71_1': ['140_1', '87_1', '86_1', '139_1'], '140_1': [], '87_1': ['140_1', '139_1'], '86_1': ['87_1', '140_1', '139_1'], '139_1': ['140_1'], '77_1': ['81_1', '78_1', '79_1', '107_1', '80_1'], '81_1': ['107_1'], '78_1': ['81_1', '79_1', '107_1', '80_1'], '79_1': ['81_1', '107_1', '80_1'], '107_1': [], '80_1': ['81_1'], '89_1': ['189_2'], '189_2': [], '90_1': ['188_2'], '188_2': [], '92_1': ['93_1'], '93_1': [], '94_1': ['109_1', '95_1', '103_1', '102_1', '106_1', '105_1', '104_1'], '109_1': [], '95_1': ['109_1', '103_1', '102_1', '106_1', '105_1', '104_1'], '103_1': ['106_1', '105_1', '109_1', '104_1'], '102_1': ['106_1', '103_1', '105_1', '104_1', '109_1'], '106_1': ['109_1'], '105_1': ['106_1', '109_1'], '104_1': ['105_1', '106_1', '109_1'], '97_1': ['131_1'], '131_1': [], '150_1': ['151_1'], '151_1': [], '153_1': ['158_1', '235_2'], '158_1': ['235_2'], '235_2': [], '154_1': ['155_1'], '155_1': [], '1_2': ['217_2'], '217_2': [], '10_2': ['11_2'], '11_2': [], '15_2': ['111_2', '22_2', '16_2', '98_2'], '111_2': [], '22_2': ['111_2', '98_2'], '16_2': ['111_2', '22_2', '98_2'], '98_2': ['111_2'], '18_2': ['27_2', '224_2', '222_2'], '27_2': ['224_2', '222_2'], '224_2': [], '222_2': ['224_2'], '23_2': ['212_2', '211_2'], '212_2': [], '211_2': ['212_2'], '24_2': ['69_2', '68_2'], '69_2': ['84_2', '80_2'], '68_2': ['69_2'], '28_2': ['39_2', '40_2'], '39_2': ['40_2'], '40_2': [], '29_2': ['40_2'], '30_2': ['33_2', '31_2', '32_2', '196_2', '200_2', '202_2'], '33_2': ['196_2', '200_2', '202_2'], '31_2': ['32_2', '33_2', '196_2', '200_2', '202_2'], '32_2': ['33_2', '196_2', '200_2', '202_2'], '196_2': ['202_2', '200_2', '197_2'], '200_2': ['202_2'], '202_2': [], '34_2': ['36_2', '37_2', '35_2'], '36_2': ['37_2'], '37_2': [], '35_2': ['37_2', '36_2'], '42_2': ['43_2', '44_2', '201_2'], '43_2': ['44_2'], '44_2': [], '201_2': [], '45_2': ['91_2', '224_2', '222_2', '215_2'], '91_2': ['224_2', '222_2'], '215_2': ['224_2', '222_2'], '46_2': ['93_2'], '93_2': [], '49_2': ['85_2'], '85_2': [], '51_2': ['208_2', '60_2'], '208_2': [], '60_2': ['208_2', '94_2'], '56_2': ['57_2', '166_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '57_2': ['166_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '166_2': ['167_2', '204_2', '171_2', '212_2', '211_2'], '163_2': ['166_2', '167_2', '204_2', '171_2', '212_2', '211_2'], '167_2': ['204_2'], '204_2': [], '171_2': ['210_2', '209_2'], '86_2': ['160_2', '161_2', '169_2', '207_2', '159_2', '101_2', '212_2', '211_2', '163_2', '166_2', '145_2'], '58_2': ['59_2'], '59_2': [], '94_2': ['103_2', '95_2', '208_2', '102_2'], '84_2': [], '80_2': ['84_2'], '73_2': ['74_2'], '74_2': [], '75_2': ['76_2', '85_2'], '76_2': ['85_2'], '78_2': ['94_2', '95_2', '103_2'], '95_2': [], '103_2': [], '81_2': ['82_2'], '82_2': [], '160_2': ['161_2', '169_2', '207_2', '212_2', '211_2'], '161_2': ['207_2', '169_2'], '169_2': ['207_2', '212_2', '211_2'], '207_2': [], '159_2': ['160_2', '161_2', '169_2'], '101_2': ['160_2', '161_2', '159_2', '169_2'], '145_2': ['160_2', '161_2'], '89_2': ['157_2'], '157_2': [], '90_2': ['158_2'], '158_2': [], '102_2': [], '108_2': ['116_2', '196_2', '200_2', '202_2'], '116_2': [], '118_2': ['119_2'], '119_2': [], '130_2': ['205_2', '131_2'], '205_2': [], '131_2': ['205_2'], '137_2': ['139_2', '148_2'], '139_2': ['148_2'], '148_2': ['150_2'], '150_2': [], '155_2': ['156_2'], '156_2': [], '210_2': [], '209_2': ['210_2'], '178_2': ['190_2'], '190_2': [], '197_2': ['202_2', '200_2'], '198_2': ['199_2'], '199_2': [], '213_2': ['214_2'], '214_2': [], '228_2': ['229_2'], '229_2': []})\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (cluster_dict)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 204,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "123\n",
+      "defaultdict(<class 'list'>, {'5_1': ['120_1'], '6_1': ['119_1'], '8_1': ['9_1'], '10_1': ['130_1', '59_1'], '59_1': ['130_1'], '11_1': ['96_1'], '12_1': ['13_1', '14_1'], '13_1': ['14_1'], '14_1': ['100_1', '99_1'], '99_1': ['100_1'], '17_1': ['40_1'], '25_1': ['31_1', '128_1', '30_1'], '31_1': ['128_1'], '30_1': ['128_1', '31_1'], '27_1': ['34_1'], '28_1': ['29_1'], '36_1': ['37_1'], '39_1': ['173_2'], '47_1': ['122_1'], '49_1': ['17_2', '141_1'], '56_1': ['57_1'], '58_1': ['125_1', '126_1', '108_1'], '125_1': ['126_1'], '108_1': ['125_1', '126_1'], '60_1': ['153_2'], '66_1': ['151_2'], '68_1': ['70_1'], '71_1': ['140_1', '87_1', '86_1', '139_1'], '87_1': ['140_1', '139_1'], '86_1': ['87_1', '140_1', '139_1'], '139_1': ['140_1'], '77_1': ['81_1', '78_1', '79_1', '107_1', '80_1'], '81_1': ['107_1'], '78_1': ['81_1', '79_1', '107_1', '80_1'], '79_1': ['81_1', '107_1', '80_1'], '80_1': ['81_1'], '89_1': ['189_2'], '90_1': ['188_2'], '92_1': ['93_1'], '94_1': ['109_1', '95_1', '103_1', '102_1', '106_1', '105_1', '104_1'], '95_1': ['109_1', '103_1', '102_1', '106_1', '105_1', '104_1'], '103_1': ['106_1', '105_1', '109_1', '104_1'], '102_1': ['106_1', '103_1', '105_1', '104_1', '109_1'], '106_1': ['109_1'], '105_1': ['106_1', '109_1'], '104_1': ['105_1', '106_1', '109_1'], '97_1': ['131_1'], '150_1': ['151_1'], '153_1': ['158_1', '235_2'], '158_1': ['235_2'], '154_1': ['155_1'], '1_2': ['217_2'], '10_2': ['11_2'], '15_2': ['111_2', '22_2', '16_2', '98_2'], '22_2': ['111_2', '98_2'], '16_2': ['111_2', '22_2', '98_2'], '98_2': ['111_2'], '18_2': ['27_2', '224_2', '222_2'], '27_2': ['224_2', '222_2'], '222_2': ['224_2'], '23_2': ['212_2', '211_2'], '211_2': ['212_2'], '24_2': ['69_2', '68_2'], '69_2': ['84_2', '80_2'], '68_2': ['69_2'], '28_2': ['39_2', '40_2'], '39_2': ['40_2'], '29_2': ['40_2'], '30_2': ['33_2', '31_2', '32_2', '196_2', '200_2', '202_2'], '33_2': ['196_2', '200_2', '202_2'], '31_2': ['32_2', '33_2', '196_2', '200_2', '202_2'], '32_2': ['33_2', '196_2', '200_2', '202_2'], '196_2': ['202_2', '200_2', '197_2'], '200_2': ['202_2'], '34_2': ['36_2', '37_2', '35_2'], '36_2': ['37_2'], '35_2': ['37_2', '36_2'], '42_2': ['43_2', '44_2', '201_2'], '43_2': ['44_2'], '45_2': ['91_2', '224_2', '222_2', '215_2'], '91_2': ['224_2', '222_2'], '215_2': ['224_2', '222_2'], '46_2': ['93_2'], '49_2': ['85_2'], '51_2': ['208_2', '60_2'], '60_2': ['208_2', '94_2'], '56_2': ['57_2', '166_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '57_2': ['166_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '166_2': ['167_2', '204_2', '171_2', '212_2', '211_2'], '163_2': ['166_2', '167_2', '204_2', '171_2', '212_2', '211_2'], '167_2': ['204_2'], '171_2': ['210_2', '209_2'], '86_2': ['160_2', '161_2', '169_2', '207_2', '159_2', '101_2', '212_2', '211_2', '163_2', '166_2', '145_2'], '58_2': ['59_2'], '94_2': ['103_2', '95_2', '208_2', '102_2'], '80_2': ['84_2'], '73_2': ['74_2'], '75_2': ['76_2', '85_2'], '76_2': ['85_2'], '78_2': ['94_2', '95_2', '103_2'], '81_2': ['82_2'], '160_2': ['161_2', '169_2', '207_2', '212_2', '211_2'], '161_2': ['207_2', '169_2'], '169_2': ['207_2', '212_2', '211_2'], '159_2': ['160_2', '161_2', '169_2'], '101_2': ['160_2', '161_2', '159_2', '169_2'], '145_2': ['160_2', '161_2'], '89_2': ['157_2'], '90_2': ['158_2'], '108_2': ['116_2', '196_2', '200_2', '202_2'], '118_2': ['119_2'], '130_2': ['205_2', '131_2'], '131_2': ['205_2'], '137_2': ['139_2', '148_2'], '139_2': ['148_2'], '148_2': ['150_2'], '155_2': ['156_2'], '209_2': ['210_2'], '178_2': ['190_2'], '197_2': ['202_2', '200_2'], '198_2': ['199_2'], '213_2': ['214_2'], '228_2': ['229_2']})\n"
+     ]
+    }
+   ],
+   "source": [
+    "cluster_dict_copy = defaultdict(list)\n",
+    "for key,val in cluster_dict.items():\n",
+    "    if val != []:\n",
+    "        cluster_dict_copy[key] = val\n",
+    "print (len(cluster_dict_copy))\n",
+    "print (cluster_dict_copy)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 191,
+   "metadata": {
+    "hidden": true,
+    "scrolled": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "defaultdict(<class 'list'>, {'5_1': ['120_1'], '6_1': ['119_1'], '8_1': ['9_1'], '9_1': ['8_1'], '10_1': ['130_1', '59_1'], '11_1': ['96_1'], '12_1': ['13_1', '14_1'], '13_1': ['12_1', '14_1'], '14_1': ['13_1', '12_1', '100_1', '99_1'], '17_1': ['40_1'], '25_1': ['31_1', '128_1', '30_1'], '27_1': ['34_1'], '28_1': ['29_1'], '29_1': ['28_1'], '30_1': ['128_1', '31_1', '25_1'], '31_1': ['25_1', '128_1', '30_1'], '34_1': ['27_1'], '36_1': ['37_1'], '37_1': ['36_1'], '39_1': ['173_2'], '40_1': ['17_1'], '47_1': ['122_1'], '49_1': ['17_2', '141_1'], '56_1': ['57_1'], '58_1': ['125_1', '126_1', '108_1'], '59_1': ['130_1', '10_1'], '60_1': ['153_2'], '66_1': ['151_2'], '68_1': ['70_1'], '70_1': ['68_1'], '71_1': ['140_1', '87_1', '86_1', '139_1'], '77_1': ['81_1', '78_1', '79_1', '107_1', '80_1'], '78_1': ['81_1', '77_1', '79_1', '107_1', '80_1'], '79_1': ['78_1', '81_1', '77_1', '107_1', '80_1'], '80_1': ['81_1', '78_1', '77_1', '79_1'], '81_1': ['78_1', '77_1', '79_1', '107_1', '80_1'], '86_1': ['87_1', '140_1', '139_1', '71_1'], '87_1': ['140_1', '86_1', '139_1', '71_1'], '89_1': ['189_2'], '90_1': ['188_2'], '92_1': ['93_1'], '93_1': ['92_1'], '94_1': ['109_1', '95_1', '103_1', '102_1', '106_1', '105_1', '104_1'], '95_1': ['94_1', '109_1', '103_1', '102_1', '106_1', '105_1', '104_1'], '96_1': ['11_1'], '97_1': ['131_1'], '99_1': ['100_1', '14_1'], '100_1': ['99_1', '14_1'], '102_1': ['106_1', '103_1', '105_1', '104_1', '94_1', '95_1', '109_1'], '103_1': ['102_1', '94_1', '106_1', '95_1', '105_1', '109_1', '104_1'], '104_1': ['105_1', '106_1', '102_1', '103_1', '94_1', '95_1', '109_1'], '105_1': ['106_1', '104_1', '102_1', '103_1', '94_1', '95_1', '109_1'], '106_1': ['105_1', '104_1', '102_1', '103_1', '94_1', '95_1', '109_1'], '107_1': ['79_1', '81_1', '78_1', '77_1'], '108_1': ['58_1', '125_1', '126_1'], '109_1': ['94_1', '95_1', '103_1', '102_1', '106_1', '104_1', '105_1'], '119_1': ['6_1'], '120_1': ['5_1'], '122_1': ['47_1'], '125_1': ['126_1', '58_1', '108_1'], '126_1': ['125_1', '58_1', '108_1'], '128_1': ['25_1', '31_1', '30_1'], '130_1': ['59_1', '10_1'], '131_1': ['97_1'], '139_1': ['86_1', '87_1', '140_1', '71_1'], '140_1': ['87_1', '86_1', '139_1', '71_1'], '141_1': ['49_1'], '150_1': ['151_1'], '151_1': ['150_1'], '153_1': ['158_1', '235_2'], '154_1': ['155_1'], '155_1': ['154_1'], '158_1': ['153_1', '235_2'], '1_2': ['217_2'], '10_2': ['11_2'], '11_2': ['10_2'], '15_2': ['111_2', '22_2', '16_2', '98_2'], '16_2': ['111_2', '22_2', '15_2', '98_2'], '17_2': ['49_1'], '18_2': ['27_2', '224_2', '222_2'], '22_2': ['111_2', '15_2', '16_2', '98_2'], '23_2': ['212_2', '211_2'], '24_2': ['69_2', '68_2'], '27_2': ['224_2', '222_2', '18_2'], '28_2': ['39_2', '40_2'], '29_2': ['40_2'], '30_2': ['33_2', '31_2', '32_2', '196_2', '200_2', '202_2'], '31_2': ['32_2', '33_2', '30_2', '196_2', '200_2', '202_2'], '32_2': ['31_2', '33_2', '30_2', '196_2', '200_2', '202_2'], '33_2': ['30_2', '31_2', '32_2', '196_2', '200_2', '202_2'], '34_2': ['36_2', '37_2', '35_2'], '35_2': ['34_2', '37_2', '36_2'], '36_2': ['34_2', '37_2', '35_2'], '37_2': ['34_2', '35_2', '36_2'], '39_2': ['28_2', '40_2'], '40_2': ['39_2', '29_2', '28_2'], '42_2': ['43_2', '44_2', '201_2'], '43_2': ['42_2', '44_2'], '44_2': ['43_2', '42_2'], '45_2': ['91_2', '224_2', '222_2', '215_2'], '46_2': ['93_2'], '49_2': ['85_2'], '51_2': ['208_2', '60_2'], '56_2': ['57_2', '166_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '57_2': ['56_2', '166_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '58_2': ['59_2'], '59_2': ['58_2'], '60_2': ['208_2', '51_2', '94_2'], '68_2': ['69_2', '24_2'], '69_2': ['68_2', '24_2', '84_2', '80_2'], '73_2': ['74_2'], '74_2': ['73_2'], '75_2': ['76_2', '85_2'], '76_2': ['75_2', '85_2'], '78_2': ['94_2', '95_2', '103_2'], '80_2': ['84_2', '69_2'], '81_2': ['82_2'], '82_2': ['81_2'], '84_2': ['80_2', '69_2'], '85_2': ['75_2', '76_2', '49_2'], '86_2': ['160_2', '161_2', '169_2', '207_2', '159_2', '101_2', '212_2', '211_2', '163_2', '57_2', '56_2', '166_2', '145_2'], '89_2': ['157_2'], '90_2': ['158_2'], '91_2': ['45_2', '224_2', '222_2'], '93_2': ['46_2'], '94_2': ['78_2', '103_2', '95_2', '208_2', '60_2', '102_2'], '95_2': ['78_2', '94_2'], '98_2': ['16_2', '111_2', '22_2', '15_2'], '101_2': ['160_2', '86_2', '161_2', '159_2', '169_2'], '102_2': ['94_2'], '103_2': ['94_2', '78_2'], '108_2': ['116_2', '196_2', '200_2', '202_2'], '111_2': ['22_2', '15_2', '16_2', '98_2'], '116_2': ['108_2'], '118_2': ['119_2'], '119_2': ['118_2'], '130_2': ['205_2', '131_2'], '131_2': ['205_2', '130_2'], '137_2': ['139_2', '148_2'], '139_2': ['137_2', '148_2'], '145_2': ['160_2', '86_2', '161_2'], '148_2': ['137_2', '139_2', '150_2'], '150_2': ['148_2'], '151_2': ['66_1'], '153_2': ['60_1'], '155_2': ['156_2'], '156_2': ['155_2'], '157_2': ['89_2'], '158_2': ['90_2'], '159_2': ['160_2', '86_2', '161_2', '169_2', '101_2'], '160_2': ['86_2', '161_2', '169_2', '207_2', '159_2', '101_2', '212_2', '211_2', '145_2'], '161_2': ['160_2', '86_2', '207_2', '159_2', '169_2', '101_2', '145_2'], '163_2': ['166_2', '57_2', '56_2', '167_2', '204_2', '171_2', '212_2', '211_2', '86_2'], '166_2': ['57_2', '56_2', '163_2', '167_2', '204_2', '171_2', '212_2', '86_2', '211_2'], '167_2': ['166_2', '163_2', '57_2', '56_2', '204_2'], '169_2': ['160_2', '207_2', '86_2', '212_2', '161_2', '211_2', '159_2', '101_2'], '171_2': ['166_2', '57_2', '56_2', '163_2', '210_2', '209_2'], '173_2': ['39_1'], '178_2': ['190_2'], '188_2': ['90_1'], '189_2': ['89_1'], '190_2': ['178_2'], '196_2': ['202_2', '200_2', '197_2', '31_2', '32_2', '30_2', '33_2', '108_2'], '197_2': ['196_2', '202_2', '200_2'], '198_2': ['199_2'], '199_2': ['198_2'], '200_2': ['196_2', '202_2', '197_2', '31_2', '30_2', '32_2', '33_2', '108_2'], '201_2': ['42_2'], '202_2': ['196_2', '200_2', '197_2', '31_2', '30_2', '32_2', '33_2', '108_2'], '204_2': ['166_2', '163_2', '57_2', '56_2', '167_2'], '205_2': ['131_2', '130_2'], '207_2': ['169_2', '160_2', '86_2', '161_2'], '208_2': ['60_2', '51_2', '94_2'], '209_2': ['210_2', '171_2'], '210_2': ['209_2', '171_2'], '211_2': ['212_2', '169_2', '160_2', '86_2', '163_2', '57_2', '56_2', '166_2'], '212_2': ['211_2', '169_2', '160_2', '86_2', '163_2', '57_2', '56_2', '166_2'], '213_2': ['214_2'], '214_2': ['213_2'], '215_2': ['45_2', '224_2', '222_2'], '217_2': ['1_2'], '222_2': ['224_2', '91_2', '45_2', '27_2'], '224_2': ['222_2', '91_2', '45_2', '27_2'], '228_2': ['229_2'], '229_2': ['228_2']})\n"
+     ]
+    }
+   ],
+   "source": [
+    "print (connections_dict)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 239,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "defaultdict(<class 'int'>, {})\n",
+      "5_1 120_1\n",
+      "6_1 119_1\n",
+      "8_1 9_1\n",
+      "10_1 130_1\n",
+      "10_1 59_1\n",
+      "11_1 96_1\n",
+      "12_1 13_1\n",
+      "12_1 14_1\n",
+      "hello\n",
+      "Previous 14_1 100_1\n",
+      "hello\n",
+      "Previous 14_1 99_1\n",
+      "17_1 40_1\n",
+      "25_1 31_1\n",
+      "25_1 128_1\n",
+      "25_1 30_1\n",
+      "27_1 34_1\n",
+      "28_1 29_1\n",
+      "36_1 37_1\n",
+      "39_1 173_2\n",
+      "47_1 122_1\n",
+      "49_1 17_2\n",
+      "49_1 141_1\n",
+      "56_1 57_1\n",
+      "58_1 125_1\n",
+      "58_1 126_1\n",
+      "58_1 108_1\n",
+      "60_1 153_2\n",
+      "66_1 151_2\n",
+      "68_1 70_1\n",
+      "71_1 140_1\n",
+      "71_1 87_1\n",
+      "71_1 86_1\n",
+      "71_1 139_1\n",
+      "77_1 81_1\n",
+      "77_1 78_1\n",
+      "77_1 79_1\n",
+      "77_1 107_1\n",
+      "77_1 80_1\n",
+      "89_1 189_2\n",
+      "90_1 188_2\n",
+      "92_1 93_1\n",
+      "94_1 109_1\n",
+      "94_1 95_1\n",
+      "94_1 103_1\n",
+      "94_1 102_1\n",
+      "94_1 106_1\n",
+      "94_1 105_1\n",
+      "94_1 104_1\n",
+      "97_1 131_1\n",
+      "150_1 151_1\n",
+      "153_1 158_1\n",
+      "153_1 235_2\n",
+      "154_1 155_1\n",
+      "1_2 217_2\n",
+      "10_2 11_2\n",
+      "15_2 111_2\n",
+      "15_2 22_2\n",
+      "15_2 16_2\n",
+      "15_2 98_2\n",
+      "18_2 27_2\n",
+      "18_2 224_2\n",
+      "18_2 222_2\n",
+      "23_2 212_2\n",
+      "23_2 211_2\n",
+      "24_2 69_2\n",
+      "24_2 68_2\n",
+      "28_2 39_2\n",
+      "28_2 40_2\n",
+      "30_2 33_2\n",
+      "30_2 31_2\n",
+      "30_2 32_2\n",
+      "30_2 196_2\n",
+      "30_2 200_2\n",
+      "30_2 202_2\n",
+      "34_2 36_2\n",
+      "34_2 37_2\n",
+      "34_2 35_2\n",
+      "42_2 43_2\n",
+      "42_2 44_2\n",
+      "42_2 201_2\n",
+      "45_2 91_2\n",
+      "45_2 215_2\n",
+      "46_2 93_2\n",
+      "49_2 85_2\n",
+      "51_2 208_2\n",
+      "51_2 60_2\n",
+      "56_2 57_2\n",
+      "56_2 166_2\n",
+      "56_2 163_2\n",
+      "56_2 167_2\n",
+      "56_2 204_2\n",
+      "56_2 171_2\n",
+      "56_2 86_2\n",
+      "58_2 59_2\n",
+      "hello\n",
+      "Previous 60_2 94_2\n",
+      "hello\n",
+      "Previous 69_2 84_2\n",
+      "hello\n",
+      "Previous 69_2 80_2\n",
+      "73_2 74_2\n",
+      "75_2 76_2\n",
+      "78_2 95_2\n",
+      "78_2 103_2\n",
+      "81_2 82_2\n",
+      "hello\n",
+      "Previous 86_2 160_2\n",
+      "hello\n",
+      "Previous 86_2 161_2\n",
+      "hello\n",
+      "Previous 86_2 169_2\n",
+      "hello\n",
+      "Previous 86_2 207_2\n",
+      "hello\n",
+      "Previous 86_2 159_2\n",
+      "hello\n",
+      "Previous 86_2 101_2\n",
+      "hello\n",
+      "Previous 86_2 145_2\n",
+      "89_2 157_2\n",
+      "90_2 158_2\n",
+      "hello\n",
+      "Previous 94_2 102_2\n",
+      "108_2 116_2\n",
+      "118_2 119_2\n",
+      "130_2 205_2\n",
+      "130_2 131_2\n",
+      "137_2 139_2\n",
+      "137_2 148_2\n",
+      "hello\n",
+      "Previous 148_2 150_2\n",
+      "155_2 156_2\n",
+      "hello\n",
+      "Previous 171_2 210_2\n",
+      "hello\n",
+      "Previous 171_2 209_2\n",
+      "178_2 190_2\n",
+      "hello\n",
+      "Previous 196_2 197_2\n",
+      "198_2 199_2\n",
+      "213_2 214_2\n",
+      "228_2 229_2\n",
+      "62\n",
+      "187\n",
+      "187\n",
+      "187\n",
+      "defaultdict(<class 'int'>, {'5_1': 2, '6_1': 2, '8_1': 2, '10_1': 3, '11_1': 2, '12_1': 5, '17_1': 2, '25_1': 4, '27_1': 2, '28_1': 2, '36_1': 2, '39_1': 2, '47_1': 2, '49_1': 3, '56_1': 2, '58_1': 4, '60_1': 2, '66_1': 2, '68_1': 2, '71_1': 5, '77_1': 6, '89_1': 2, '90_1': 2, '92_1': 2, '94_1': 8, '97_1': 2, '150_1': 2, '153_1': 3, '154_1': 2, '1_2': 2, '10_2': 2, '15_2': 5, '18_2': 4, '23_2': 3, '24_2': 5, '28_2': 3, '29_2': 1, '30_2': 8, '34_2': 4, '42_2': 4, '45_2': 3, '46_2': 2, '49_2': 2, '51_2': 4, '56_2': 17, '58_2': 2, '73_2': 2, '75_2': 2, '78_2': 3, '81_2': 2, '89_2': 2, '90_2': 2, '60_2': 1, '108_2': 2, '118_2': 2, '130_2': 3, '137_2': 4, '155_2': 2, '178_2': 2, '198_2': 2, '213_2': 2, '228_2': 2})\n",
+      "['5_1', '120_1', '6_1', '119_1', '8_1', '9_1', '10_1', '130_1', '59_1', '11_1', '96_1', '12_1', '13_1', '14_1', '100_1', '99_1', '17_1', '40_1', '25_1', '31_1', '128_1', '30_1', '27_1', '34_1', '28_1', '29_1', '36_1', '37_1', '39_1', '173_2', '47_1', '122_1', '49_1', '17_2', '141_1', '56_1', '57_1', '58_1', '125_1', '126_1', '108_1', '60_1', '153_2', '66_1', '151_2', '68_1', '70_1', '71_1', '140_1', '87_1', '86_1', '139_1', '77_1', '81_1', '78_1', '79_1', '107_1', '80_1', '89_1', '189_2', '90_1', '188_2', '92_1', '93_1', '94_1', '109_1', '95_1', '103_1', '102_1', '106_1', '105_1', '104_1', '97_1', '131_1', '150_1', '151_1', '153_1', '158_1', '235_2', '154_1', '155_1', '1_2', '217_2', '10_2', '11_2', '15_2', '111_2', '22_2', '16_2', '98_2', '18_2', '27_2', '224_2', '222_2', '23_2', '212_2', '211_2', '24_2', '69_2', '68_2', '28_2', '39_2', '40_2', '29_2', '30_2', '33_2', '31_2', '32_2', '196_2', '200_2', '202_2', '34_2', '36_2', '37_2', '35_2', '42_2', '43_2', '44_2', '201_2', '45_2', '91_2', '215_2', '46_2', '93_2', '49_2', '85_2', '51_2', '208_2', '60_2', '56_2', '57_2', '166_2', '163_2', '167_2', '204_2', '171_2', '86_2', '58_2', '59_2', '94_2', '84_2', '80_2', '73_2', '74_2', '75_2', '76_2', '78_2', '95_2', '103_2', '81_2', '82_2', '160_2', '161_2', '169_2', '207_2', '159_2', '101_2', '145_2', '89_2', '157_2', '90_2', '158_2', '102_2', '108_2', '116_2', '118_2', '119_2', '130_2', '205_2', '131_2', '137_2', '139_2', '148_2', '150_2', '155_2', '156_2', '210_2', '209_2', '178_2', '190_2', '197_2', '198_2', '199_2', '213_2', '214_2', '228_2', '229_2']\n"
+     ]
+    }
+   ],
+   "source": [
+    "cluster_sizes_dict = defaultdict(int)\n",
+    "print (cluster_sizes_dict)\n",
+    "seq_names = []\n",
+    "for key, values in connections_dict.items():\n",
+    "    if key not in seq_names:\n",
+    "        seq_names.append(key)\n",
+    "        cluster_sizes_dict[key] = 1 # 1 for the key itself in the cluster\n",
+    "        for val in values:\n",
+    "            if val not in seq_names:\n",
+    "                seq_names.append(val)\n",
+    "                print (key, val)\n",
+    "                cluster_sizes_dict[key] += 1\n",
+    "    elif key in seq_names: #key could have been in values previously\n",
+    "        for val in values:\n",
+    "            if val not in seq_names:\n",
+    "                flag = 0\n",
+    "                seq_names.append(val)\n",
+    "                for key_in, values_in in connections_dict.items():\n",
+    "                    for val_in in values_in:\n",
+    "                        if key == val_in:\n",
+    "                            cluster_sizes_dict[key_in] += 1\n",
+    "                            flag = 1 # added this node to a cluster\n",
+    "                            print ('hello')\n",
+    "                            print ('Previous', key, val)\n",
+    "                            break\n",
+    "                    if flag == 1:\n",
+    "                        break\n",
+    "            #cluster_sizes.append(len(cluster_dict_copy[key]) + 1)\n",
+    "print (len(cluster_sizes_dict))\n",
+    "count = 0\n",
+    "for key, val in cluster_sizes_dict.items():\n",
+    "    count = count + val\n",
+    "print (count)\n",
+    "print (len(set(seq_names)))\n",
+    "print (len(seq_names))\n",
+    "print (cluster_sizes_dict)\n",
+    "print (seq_names)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 241,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "defaultdict(<class 'int'>, {'5_1': 2, '6_1': 2, '8_1': 2, '10_1': 3, '11_1': 2, '12_1': 5, '17_1': 2, '25_1': 4, '27_1': 2, '28_1': 2, '36_1': 2, '39_1': 2, '47_1': 2, '49_1': 3, '56_1': 2, '58_1': 4, '60_1': 2, '66_1': 2, '68_1': 2, '71_1': 5, '77_1': 6, '89_1': 2, '90_1': 2, '92_1': 2, '94_1': 8, '97_1': 2, '150_1': 2, '153_1': 3, '154_1': 2, '1_2': 2, '10_2': 2, '15_2': 5, '18_2': 4, '23_2': 3, '24_2': 5, '28_2': 3, '29_2': 1, '30_2': 8, '34_2': 4, '42_2': 4, '45_2': 3, '46_2': 2, '49_2': 2, '51_2': 4, '56_2': 17, '58_2': 2, '73_2': 2, '75_2': 2, '78_2': 3, '81_2': 2, '89_2': 2, '90_2': 2, '60_2': 1, '108_2': 2, '118_2': 2, '130_2': 3, '137_2': 4, '155_2': 2, '178_2': 2, '198_2': 2, '213_2': 2, '228_2': 2, '1_1': 1, '3_1': 1, '4_1': 1, '7_1': 1, '15_1': 1, '16_1': 1, '18_1': 1, '19_1': 1, '23_1': 1, '24_1': 1, '26_1': 1, '32_1': 1, '33_1': 1, '35_1': 1, '38_1': 1, '41_1': 1, '42_1': 1, '43_1': 1, '44_1': 1, '45_1': 1, '46_1': 1, '48_1': 1, '50_1': 1, '54_1': 1, '55_1': 1, '61_1': 1, '62_1': 1, '63_1': 1, '64_1': 1, '65_1': 1, '67_1': 1, '69_1': 1, '72_1': 1, '74_1': 1, '75_1': 1, '82_1': 1, '83_1': 1, '84_1': 1, '88_1': 1, '91_1': 1, '101_1': 1, '110_1': 1, '111_1': 1, '112_1': 1, '113_1': 1, '114_1': 1, '115_1': 1, '116_1': 1, '121_1': 1, '123_1': 1, '124_1': 1, '127_1': 1, '129_1': 1, '132_1': 1, '133_1': 1, '134_1': 1, '135_1': 1, '136_1': 1, '137_1': 1, '138_1': 1, '142_1': 1, '145_1': 1, '146_1': 1, '147_1': 1, '148_1': 1, '149_1': 1, '152_1': 1, '156_1': 1, '157_1': 1, '159_1': 1, '160_1': 1, '2_2': 1, '3_2': 1, '7_2': 1, '8_2': 1, '9_2': 1, '12_2': 1, '13_2': 1, '14_2': 1, '19_2': 1, '20_2': 1, '21_2': 1, '25_2': 1, '26_2': 1, '38_2': 1, '41_2': 1, '50_2': 1, '52_2': 1, '54_2': 1, '65_2': 1, '70_2': 1, '71_2': 1, '72_2': 1, '77_2': 1, '79_2': 1, '83_2': 1, '88_2': 1, '92_2': 1, '96_2': 1, '97_2': 1, '99_2': 1, '100_2': 1, '105_2': 1, '106_2': 1, '110_2': 1, '113_2': 1, '114_2': 1, '117_2': 1, '120_2': 1, '121_2': 1, '122_2': 1, '123_2': 1, '124_2': 1, '125_2': 1, '126_2': 1, '127_2': 1, '128_2': 1, '129_2': 1, '132_2': 1, '133_2': 1, '135_2': 1, '136_2': 1, '138_2': 1, '140_2': 1, '141_2': 1, '146_2': 1, '147_2': 1, '149_2': 1, '162_2': 1, '164_2': 1, '165_2': 1, '168_2': 1, '170_2': 1, '172_2': 1, '174_2': 1, '175_2': 1, '176_2': 1, '177_2': 1, '179_2': 1, '180_2': 1, '181_2': 1, '185_2': 1, '186_2': 1, '191_2': 1, '192_2': 1, '193_2': 1, '194_2': 1, '195_2': 1, '203_2': 1, '216_2': 1, '218_2': 1, '221_2': 1, '223_2': 1, '226_2': 1, '227_2': 1, '230_2': 1, '232_2': 1, '234_2': 1, '236_2': 1})\n"
+     ]
+    }
+   ],
+   "source": [
+    "for index, record in enumerate(SeqIO.parse('positive_bacteriocin_less_than_30.fa', 'fasta')): \n",
+    "    tok = record.description.split('|')[0]\n",
+    "    tok = '_'.join(tok.split('.'))\n",
+    "    if tok not in seq_names:\n",
+    "        seq_names.append(tok)\n",
+    "        cluster_sizes_dict[tok] = 1\n",
+    "print (cluster_sizes_dict)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 242,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "346\n"
+     ]
+    }
+   ],
+   "source": [
+    "count = 0\n",
+    "for key, val in cluster_sizes_dict.items():\n",
+    "    count = count + val\n",
+    "print (count)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 273,
+   "metadata": {
+    "collapsed": true,
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "5_1 120_1\n",
+      "6_1 119_1\n",
+      "8_1 9_1\n",
+      "10_1 130_1\n",
+      "10_1 59_1\n",
+      "11_1 96_1\n",
+      "12_1 13_1\n",
+      "12_1 14_1\n",
+      "13_1 14_1\n",
+      "14_1 100_1\n",
+      "14_1 99_1\n",
+      "17_1 40_1\n",
+      "25_1 31_1\n",
+      "25_1 128_1\n",
+      "25_1 30_1\n",
+      "27_1 34_1\n",
+      "28_1 29_1\n",
+      "30_1 128_1\n",
+      "30_1 31_1\n",
+      "31_1 128_1\n",
+      "36_1 37_1\n",
+      "39_1 173_2\n",
+      "47_1 122_1\n",
+      "49_1 17_2\n",
+      "49_1 141_1\n",
+      "56_1 57_1\n",
+      "58_1 125_1\n",
+      "58_1 126_1\n",
+      "58_1 108_1\n",
+      "59_1 130_1\n",
+      "60_1 153_2\n",
+      "66_1 151_2\n",
+      "68_1 70_1\n",
+      "71_1 140_1\n",
+      "71_1 87_1\n",
+      "71_1 86_1\n",
+      "71_1 139_1\n",
+      "77_1 81_1\n",
+      "77_1 78_1\n",
+      "77_1 79_1\n",
+      "77_1 107_1\n",
+      "77_1 80_1\n",
+      "78_1 81_1\n",
+      "78_1 79_1\n",
+      "78_1 107_1\n",
+      "78_1 80_1\n",
+      "79_1 81_1\n",
+      "79_1 107_1\n",
+      "79_1 80_1\n",
+      "80_1 81_1\n",
+      "81_1 107_1\n",
+      "86_1 87_1\n",
+      "86_1 140_1\n",
+      "86_1 139_1\n",
+      "87_1 140_1\n",
+      "87_1 139_1\n",
+      "89_1 189_2\n",
+      "90_1 188_2\n",
+      "92_1 93_1\n",
+      "94_1 109_1\n",
+      "94_1 95_1\n",
+      "94_1 103_1\n",
+      "94_1 102_1\n",
+      "94_1 106_1\n",
+      "94_1 105_1\n",
+      "94_1 104_1\n",
+      "95_1 109_1\n",
+      "95_1 103_1\n",
+      "95_1 102_1\n",
+      "95_1 106_1\n",
+      "95_1 105_1\n",
+      "95_1 104_1\n",
+      "97_1 131_1\n",
+      "99_1 100_1\n",
+      "102_1 106_1\n",
+      "102_1 103_1\n",
+      "102_1 105_1\n",
+      "102_1 104_1\n",
+      "102_1 109_1\n",
+      "103_1 106_1\n",
+      "103_1 105_1\n",
+      "103_1 109_1\n",
+      "103_1 104_1\n",
+      "104_1 105_1\n",
+      "104_1 106_1\n",
+      "104_1 109_1\n",
+      "105_1 106_1\n",
+      "105_1 109_1\n",
+      "106_1 109_1\n",
+      "108_1 125_1\n",
+      "108_1 126_1\n",
+      "125_1 126_1\n",
+      "139_1 140_1\n",
+      "150_1 151_1\n",
+      "153_1 158_1\n",
+      "153_1 235_2\n",
+      "154_1 155_1\n",
+      "158_1 235_2\n",
+      "1_2 217_2\n",
+      "10_2 11_2\n",
+      "15_2 111_2\n",
+      "15_2 22_2\n",
+      "15_2 16_2\n",
+      "15_2 98_2\n",
+      "16_2 111_2\n",
+      "16_2 22_2\n",
+      "16_2 98_2\n",
+      "18_2 27_2\n",
+      "18_2 224_2\n",
+      "18_2 222_2\n",
+      "22_2 111_2\n",
+      "22_2 98_2\n",
+      "23_2 212_2\n",
+      "23_2 211_2\n",
+      "24_2 69_2\n",
+      "24_2 68_2\n",
+      "27_2 224_2\n",
+      "27_2 222_2\n",
+      "28_2 39_2\n",
+      "28_2 40_2\n",
+      "29_2 40_2\n",
+      "30_2 33_2\n",
+      "30_2 31_2\n",
+      "30_2 32_2\n",
+      "30_2 196_2\n",
+      "30_2 200_2\n",
+      "30_2 202_2\n",
+      "31_2 32_2\n",
+      "31_2 33_2\n",
+      "31_2 196_2\n",
+      "31_2 200_2\n",
+      "31_2 202_2\n",
+      "32_2 33_2\n",
+      "32_2 196_2\n",
+      "32_2 200_2\n",
+      "32_2 202_2\n",
+      "33_2 196_2\n",
+      "33_2 200_2\n",
+      "33_2 202_2\n",
+      "34_2 36_2\n",
+      "34_2 37_2\n",
+      "34_2 35_2\n",
+      "35_2 37_2\n",
+      "35_2 36_2\n",
+      "36_2 37_2\n",
+      "39_2 40_2\n",
+      "42_2 43_2\n",
+      "42_2 44_2\n",
+      "42_2 201_2\n",
+      "43_2 44_2\n",
+      "45_2 91_2\n",
+      "45_2 224_2\n",
+      "45_2 222_2\n",
+      "45_2 215_2\n",
+      "46_2 93_2\n",
+      "49_2 85_2\n",
+      "51_2 208_2\n",
+      "51_2 60_2\n",
+      "56_2 57_2\n",
+      "56_2 166_2\n",
+      "56_2 163_2\n",
+      "56_2 167_2\n",
+      "56_2 204_2\n",
+      "56_2 171_2\n",
+      "56_2 212_2\n",
+      "56_2 86_2\n",
+      "56_2 211_2\n",
+      "57_2 166_2\n",
+      "57_2 163_2\n",
+      "57_2 167_2\n",
+      "57_2 204_2\n",
+      "57_2 171_2\n",
+      "57_2 212_2\n",
+      "57_2 86_2\n",
+      "57_2 211_2\n",
+      "58_2 59_2\n",
+      "60_2 208_2\n",
+      "60_2 94_2\n",
+      "68_2 69_2\n",
+      "69_2 84_2\n",
+      "69_2 80_2\n",
+      "73_2 74_2\n",
+      "75_2 76_2\n",
+      "75_2 85_2\n",
+      "76_2 85_2\n",
+      "78_2 94_2\n",
+      "78_2 95_2\n",
+      "78_2 103_2\n",
+      "80_2 84_2\n",
+      "81_2 82_2\n",
+      "86_2 160_2\n",
+      "86_2 161_2\n",
+      "86_2 169_2\n",
+      "86_2 207_2\n",
+      "86_2 159_2\n",
+      "86_2 101_2\n",
+      "86_2 212_2\n",
+      "86_2 211_2\n",
+      "86_2 163_2\n",
+      "86_2 166_2\n",
+      "86_2 145_2\n",
+      "89_2 157_2\n",
+      "90_2 158_2\n",
+      "91_2 224_2\n",
+      "91_2 222_2\n",
+      "94_2 103_2\n",
+      "94_2 95_2\n",
+      "94_2 208_2\n",
+      "94_2 102_2\n",
+      "98_2 111_2\n",
+      "101_2 160_2\n",
+      "101_2 161_2\n",
+      "101_2 159_2\n",
+      "101_2 169_2\n",
+      "108_2 116_2\n",
+      "108_2 196_2\n",
+      "108_2 200_2\n",
+      "108_2 202_2\n",
+      "118_2 119_2\n",
+      "130_2 205_2\n",
+      "130_2 131_2\n",
+      "131_2 205_2\n",
+      "137_2 139_2\n",
+      "137_2 148_2\n",
+      "139_2 148_2\n",
+      "145_2 160_2\n",
+      "145_2 161_2\n",
+      "148_2 150_2\n",
+      "155_2 156_2\n",
+      "159_2 160_2\n",
+      "159_2 161_2\n",
+      "159_2 169_2\n",
+      "160_2 161_2\n",
+      "160_2 169_2\n",
+      "160_2 207_2\n",
+      "160_2 212_2\n",
+      "160_2 211_2\n",
+      "161_2 207_2\n",
+      "161_2 169_2\n",
+      "163_2 166_2\n",
+      "163_2 167_2\n",
+      "163_2 204_2\n",
+      "163_2 171_2\n",
+      "163_2 212_2\n",
+      "163_2 211_2\n",
+      "166_2 167_2\n",
+      "166_2 204_2\n",
+      "166_2 171_2\n",
+      "166_2 212_2\n",
+      "166_2 211_2\n",
+      "167_2 204_2\n",
+      "169_2 207_2\n",
+      "169_2 212_2\n",
+      "169_2 211_2\n",
+      "171_2 210_2\n",
+      "171_2 209_2\n",
+      "178_2 190_2\n",
+      "196_2 202_2\n",
+      "196_2 200_2\n",
+      "196_2 197_2\n",
+      "197_2 202_2\n",
+      "197_2 200_2\n",
+      "198_2 199_2\n",
+      "200_2 202_2\n",
+      "209_2 210_2\n",
+      "211_2 212_2\n",
+      "213_2 214_2\n",
+      "215_2 224_2\n",
+      "215_2 222_2\n",
+      "222_2 224_2\n",
+      "228_2 229_2\n",
+      "187\n",
+      "271\n",
+      "done\n"
+     ]
+    }
+   ],
+   "source": [
+    "#print (cluster_dict)\n",
+    "seq_names_connections = [] \n",
+    "connections_list_tuples = []\n",
+    "out_handle = open('connections_file_rectified', 'w')\n",
+    "for key, value in connections_dict.items():\n",
+    "    for target_node in value:\n",
+    "        if (target_node, key) not in connections_list_tuples:\n",
+    "            seq_names_connections.append(key)\n",
+    "            seq_names_connections.append(target_node)\n",
+    "            connections_list_tuples.append((key, target_node))\n",
+    "            print (key, target_node)\n",
+    "            out_handle.write('%s %s\\n' % (key, target_node))\n",
+    "        \n",
+    "out_handle.close()\n",
+    "print (len(set(seq_names_connections)))\n",
+    "print (len(connections_list_tuples))\n",
+    "print ('done')       "
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 271,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "346\n",
+      "done\n"
+     ]
+    }
+   ],
+   "source": [
+    "out_handle = open('connections_file_just_single', 'w')\n",
+    "for index, record in enumerate(SeqIO.parse('positive_bacteriocin_less_than_30.fa', 'fasta')): \n",
+    "    tok = record.description.split('|')[0]\n",
+    "    tok = '_'.join(tok.split('.'))\n",
+    "    if tok not in set(seq_names_connections):\n",
+    "        seq_names_connections.append(tok)\n",
+    "        out_handle.write(\"%s  \\n\" % (tok))\n",
+    "out_handle.close()\n",
+    "print (len(set(seq_names_connections)))\n",
+    "print (\"done\")"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 66,
+   "metadata": {
+    "collapsed": true,
+    "hidden": true
+   },
+   "outputs": [],
+   "source": [
+    "colors = ['AliceBlue', 'AntiqueWhite', 'Aqua', 'Aquamarine', 'Azure', 'Beige', 'Bisque', 'BlanchedAlmond', 'Blue', 'BlueViolet', 'Brown', 'BurlyWood', 'CadetBlue', 'Chartreuse', 'Chocolate', 'Coral', 'CornflowerBlue', 'Cornsilk', 'Crimson', 'Cyan', 'DarkBlue', 'DarkCyan', 'DarkGoldenRod', 'DarkGray', 'DarkGreen', 'DarkKhaki', 'DarkMagenta', 'DarkOliveGreen', 'DarkOrange', 'DarkOrchid', 'DarkRed', 'DarkSalmon', 'DarkSeaGreen', 'DarkSlateBlue', 'DarkSlateGray', 'DarkTurquoise', 'DarkViolet', 'DeepPink', 'DeepSkyBlue', 'DimGray', 'DodgerBlue', 'FireBrick', 'FloralWhite', 'ForestGreen', 'Fuchsia', 'Gainsboro', 'GhostWhite', 'Gold', 'GoldenRod', 'Gray', 'Green', 'GreenYellow', 'HoneyDew', 'HotPink', 'IndianRed', '', 'Indigo', '', 'Ivory', 'Khaki', 'Lavender', 'LavenderBlush', 'LawnGreen', 'LemonChiffon', 'LightBlue', 'LightCoral', 'LightCyan', 'LightGoldenRodYellow', 'LightGray', 'LightGreen', 'LightPink',\n",
+    "         'LightSalmon', 'LightSeaGreen', 'LightSkyBlue', 'LightSlateGray', 'LightSteelBlue', 'LightYellow', 'Lime', 'LimeGreen', 'Linen', 'Magenta', 'Maroon', 'MediumAquaMarine', 'MediumBlue', 'MediumOrchid', 'MediumPurple', 'MediumSeaGreen', 'MediumSlateBlue', 'MediumSpringGreen', 'MediumTurquoise', 'MediumVioletRed', 'MidnightBlue', 'MintCream', 'MistyRose', 'Moccasin', 'NavajoWhite', 'Navy', 'OldLace', 'Olive', 'OliveDrab', 'Orange', 'OrangeRed', 'Orchid', 'PaleGoldenRod', 'PaleGreen', 'PaleTurquoise', 'PaleVioletRed', 'PapayaWhip', 'PeachPuff', 'Peru', 'Pink', 'Plum', 'PowderBlue', 'Purple', 'RebeccaPurple', 'Red', 'RosyBrown', 'RoyalBlue', 'SaddleBrown', 'Salmon', 'SandyBrown', 'SeaGreen', 'SeaShell', 'Sienna', 'Silver', 'SkyBlue', 'SlateBlue', 'SlateGray', 'Snow', 'SpringGreen', 'SteelBlue', 'Tan', 'Teal', 'Thistle', 'Tomato', 'Turquoise', 'Violet', 'Wheat', 'White', 'WhiteSmoke', 'Yellow', 'YellowGreen']"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 256,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "data": {
+      "text/plain": [
+       "17"
+      ]
+     },
+     "execution_count": 256,
+     "metadata": {},
+     "output_type": "execute_result"
+    }
+   ],
+   "source": [
+    "len(set(cluster_size_dict_list))\n",
+    "max(cluster_size_dict_list)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 268,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "defaultdict(<class 'int'>, {2: 37, 3: 8, 5: 4, 4: 7, 6: 1, 8: 2, 1: 161, 17: 1})\n"
+     ]
+    }
+   ],
+   "source": [
+    "size_to_count_dict = defaultdict(int)\n",
+    "for key, value in cluster_sizes_dict.items():\n",
+    "    size_to_count_dict[value] += 1 \n",
+    "print (size_to_count_dict)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 292,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "name": "stdout",
+     "output_type": "stream",
+     "text": [
+      "346\n"
+     ]
+    }
+   ],
+   "source": [
+    "size_to_count_dict_new = {2: 34, 3: 4, 5: 4, 4: 7, 6: 1, 7:1, 10:1, 8: 2, 1: 159, 20: 1}\n",
+    "count  = 0\n",
+    "for key, val in size_to_count_dict_new.items():\n",
+    "    count = count + ((key) * val)\n",
+    "print (count)"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": null,
+   "metadata": {
+    "collapsed": true,
+    "hidden": true
+   },
+   "outputs": [],
+   "source": [
+    "out_handle = open('cluster_size_count.csv' , 'w')\n"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 291,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "data": {
+      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAw0AAAJGCAYAAAAZCWn7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nLKk0CCGEEEIIIcz6fxHOkATJfZ4SAAAAAElFTkSuQmCC\n",
+      "text/plain": [
+       "<matplotlib.figure.Figure at 0x7f3a5c8bdc50>"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    }
+   ],
+   "source": [
+    "names = list(size_to_count_dict_new.keys())\n",
+    "values = list(size_to_count_dict_new.values())\n",
+    "x = sorted(names)\n",
+    "#print (names)\n",
+    "#print (values)\n",
+    "fig, axs = plt.subplots(1, 1, figsize=(12, 9))\n",
+    "axs.bar(names, values, color = 'r')\n",
+    "axs.set_ylabel('Count',fontsize = 28)\n",
+    "axs.set_xlabel('Bacteriocin cluster sizes',fontsize = 28)\n",
+    "axs.spines[\"top\"].set_visible(False)  \n",
+    "axs.spines[\"right\"].set_visible(False)\n",
+    "plt.xlim(1.0, )\n",
+    "plt.xticks(x, ('1', '2', '3', '4', '5', '6', '7', '8', '10', '20'))\n",
+    "plt.tick_params(size = 10)\n",
+    "#axs[1].scatter(names, values)\n",
+    "#axs[2].plot(names, values)\n",
+    "#fig.suptitle('Categorical Plotting')\n",
+    "ax.figure.savefig('bacteriocin_cluster_sizes.eps', format = 'eps', dpi = 1200, bbox_inches=\"tight\")\n",
+    "ax.figure.savefig('bacteriocin_cluster_sizes.png', format = 'png', dpi = 1200, bbox_inches=\"tight\")\n",
+    "ax.figure.savefig('bacteriocin_cluster_sizes.pdf', format = 'pdf', dpi = 1200, bbox_inches=\"tight\")"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": 258,
+   "metadata": {
+    "hidden": true
+   },
+   "outputs": [
+    {
+     "data": {
+      "image/png": "iVBORw0KGgoAAAANSUhEUgAAAw0AAAJGCAYAAAAZCWn7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+      "text/plain": [
+       "<matplotlib.figure.Figure at 0x7f3a60385e48>"
+      ]
+     },
+     "metadata": {},
+     "output_type": "display_data"
+    }
+   ],
+   "source": [
+    "cluster_size_dict_list = [value for key, value in cluster_sizes_dict.items()]\n",
+    "\n",
+    "plt.rc('ytick',labelsize=24)\n",
+    "plt.rc('xtick',labelsize=24)\n",
+    "\n",
+    "_, ax = plt.subplots(1, 1, figsize=(12,9))\n",
+    "#fig.set_size_inches(12, 9)\n",
+    "ax.spines[\"top\"].set_visible(False)  \n",
+    "ax.spines[\"right\"].set_visible(False)\n",
+    "ax.hist(cluster_size_dict_list, bins=np.arange(min(cluster_size_dict_list),max(cluster_size_dict_list)), \n",
+    "                                               align='left', \n",
+    "                                               color='r', edgecolor = 'black')\n",
+    "# plt.title(\"%i Negative bacteriocin sequences\\nLengths %i to %i\" \\\n",
+    "#             % (len(sizes),min(sizes),max(sizes)))\n",
+    "ax.set_ylabel('Count',fontsize = 28)\n",
+    "ax.set_xlabel('Bacteriocin cluster sizes',fontsize = 28)\n",
+    "plt.xlim(1.0, )\n",
+    "plt.tick_params(size = 10)\n",
+    "#plt.xlabel(\"Sequence length\")\n",
+    "#plt.ylabel(\"Count\")\n",
+    "# ax.figure.savefig('bacteriocin_cluster_sizes.eps', format = 'eps', dpi = 1200, bbox_inches=\"tight\")\n",
+    "# ax.figure.savefig('bacteriocin_cluster_sizes.png', format = 'png', dpi = 1200, bbox_inches=\"tight\")\n",
+    "# ax.figure.savefig('bacteriocin_cluster_sizes.pdf', format = 'pdf', dpi = 1200, bbox_inches=\"tight\")\n",
+    "#plt.show()"
+   ]
+  },
+  {
+   "cell_type": "code",
+   "execution_count": null,
+   "metadata": {
+    "collapsed": true,
+    "hidden": true
+   },
+   "outputs": [],
+   "source": []
+  }
+ ],
+ "metadata": {
+  "kernelspec": {
+   "display_name": "Python 2",
+   "language": "python",
+   "name": "python2"
+  },
+  "language_info": {
+   "codemirror_mode": {
+    "name": "ipython",
+    "version": 2
+   },
+   "file_extension": ".py",
+   "mimetype": "text/x-python",
+   "name": "python",
+   "nbconvert_exporter": "python",
+   "pygments_lexer": "ipython2",
+   "version": "2.7.16"
+  }
+ },
+ "nbformat": 4,
+ "nbformat_minor": 2
+}