comparison scripts/pickUniqPairFastq_MEM.pl @ 12:ca36262102d8 draft

planemo upload for repository https://github.com/portiahollyoak/Tools commit 5d021f520b653582862ec98dd812a051b804aa50
author portiahollyoak
date Fri, 29 Apr 2016 05:47:54 -0400
parents 28d1a6f8143f
children 9672fe07a232
comparison
equal deleted inserted replaced
11:e19d9742c99b 12:ca36262102d8
28 elsif ($a[$i] =~ /^XS:i:/) { 28 elsif ($a[$i] =~ /^XS:i:/) {
29 $a[$i] =~ s/XS:i://; 29 $a[$i] =~ s/XS:i://;
30 $xs=$a[$i]; 30 $xs=$a[$i];
31 } 31 }
32 if (($xs > 0) && ($as-$xs <= $ARGV[2])) {$xt="R";} 32 if (($xs > 0) && ($as-$xs <= $ARGV[2])) {$xt="R";}
33 else {$xt="U";} 33 elsif ($as > 0) {$xt="U";}
34 } 34 }
35 35
36 ## revcom the read mapped to the reverse strand 36 ## revcom the read mapped to the reverse strand
37 if($f[1]=~/r/) 37 if($f[1]=~/r/)
38 { 38 {
39 my $seq=Bio::Seq->new(-seq=>$f[9]); 39 my $seq=Bio::Seq->new(-seq=>$f[9], -alphabet => 'dna');
40 $f[9]=$seq->revcom->seq; 40 $f[9]=$seq->revcom->seq;
41 $f[10]=reverse $f[10]; 41 $f[10]=reverse $f[10];
42 } 42 }
43 if (($rnum == 1) || ($rnum == 2)) 43 if (($rnum == 1) || ($rnum == 2))
44 { 44 {