comparison scripts/pickUniqPos_MEM.pl @ 21:9672fe07a232 draft default tip

planemo upload for repository https://github.com/portiahollyoak/Tools commit 0fea84d05f8976b8360a8b4943ecb01b87e3ade0-dirty
author mvdbeek
date Mon, 05 Dec 2016 09:58:47 -0500
parents ca36262102d8
children
comparison
equal deleted inserted replaced
20:6e02b9179a24 21:9672fe07a232
8 while(<in>) 8 while(<in>)
9 { 9 {
10 chomp; 10 chomp;
11 my @f=split/\t/,$_,12; 11 my @f=split/\t/,$_,12;
12 ## read number 1 or 2 12 ## read number 1 or 2
13 my ($rnum)=$f[1]=~/(\d)$/; 13 #my ($rnum)=$f[1]=~/(\d)$/;
14 my $rnum=1;
15 if (($f[1] & 128) == 128) {$rnum=2;}
14 16
15 ## XT:A:* 17 ## XT:A:*
16 my $xt=""; 18 my $xt="";
17 my @a=split(/\s+/, $_); 19 my @a=split(/\s+/, $_);
18 my $as=0; 20 my $as=0;
30 elsif ($as > 0) {$xt="U";} 32 elsif ($as > 0) {$xt="U";}
31 } 33 }
32 34
33 my $strand="+"; 35 my $strand="+";
34 ## revcomp 36 ## revcomp
35 if($f[1]=~/r/) 37 if(($f[1] & 16) == 16)
36 { 38 {
37 my $seq=Bio::Seq->new(-seq=>$f[9], -alphabet => 'dna'); 39 my $seq=Bio::Seq->new(-seq=>$f[9], -alphabet => 'dna');
38 $f[9]=$seq->revcom->seq; 40 $f[9]=$seq->revcom->seq;
39 $strand="-"; 41 $strand="-";
40 } 42 }